Advertisement
Guest User

Untitled

a guest
Jun 27th, 2017
50
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.50 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity versuch01 is
  5.   port(
  6.     x1: in std_ulogic;
  7.     x2: in std_ulogic;
  8.     x3: in std_ulogic;
  9.     x4: in std_ulogic;
  10.     y1: out std_ulogic;
  11.     y2: out std_ulogic
  12.   );
  13. end versuch01;
  14.  
  15. architecture versuch01_arch of versuch01 is
  16. begin
  17.   process
  18.     begin
  19.       y1 <= (x1 and not x4) or (not x1 and x2) or (not x1 and x3 and x4);
  20.       y2 <= (not x1 or not x4) and (x1 or x4) and (x1 or x2 or x3);
  21.     wait;
  22.   end process;
  23. end versuch01_arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement