Advertisement
Guest User

Untitled

a guest
May 15th, 2019
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.63 KB | None | 0 0
  1. --
  2. -- VHDL Architecture Lab5VHDL_lib.Lab5VHDL_tester.tb
  3. --
  4. -- Created:
  5. --          by - stud.stud (mmf417-8)
  6. --          at - 18:38:40 05/15/19
  7. --
  8. -- using Mentor Graphics HDL Designer(TM) 2018.1 (Build 12)
  9. --
  10. LIBRARY ieee;
  11. USE ieee.std_logic_1164.ALL;
  12. USE ieee.std_logic_arith.ALL;
  13.  
  14. ENTITY Lab5VHDL_tester IS
  15.    PORT(
  16.       dataOut : IN     std_logic_vector (2 DOWNTO 0);
  17.       clk     : OUT    std_logic;
  18.       dataIn  : OUT    std_logic_vector (2 DOWNTO 0);
  19.       rst     : OUT    std_logic
  20.    );
  21.  
  22. -- Declarations
  23.  
  24. END Lab5VHDL_tester ;
  25.  
  26. --
  27. ARCHITECTURE tb OF Lab5VHDL_tester IS
  28. BEGIN
  29.  
  30. END ARCHITECTURE tb;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement