Advertisement
Guest User

Untitled

a guest
Jun 27th, 2017
53
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.57 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity versuch01b is
  5.   port(
  6.     x: in std_logic_vector (3 downto 0);
  7.     y: out std_logic_vector (3 downto 0)
  8.   );
  9. end versuch01b;
  10.  
  11. architecture versuch01b_arch of versuch01b is
  12. begin
  13.   funktionstabelle: process(x)
  14.   begin
  15.     case x is
  16.       when "0000"|"0001"|"0010"|"1011"|"1101"|"1111" => y <= "0";
  17.       when "0011"|"0101"|"0111"|"1000"|"1010"|"1100"|"1110" => y <= "1";
  18.       --when "0100"|"0110"|"1001" => y <= "0";
  19.       when others => null;
  20.     end case;
  21.   end process funktionstabelle;  
  22. end versuch01b_arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement