Advertisement
LucaSkywalker

IntMult_tb.vhd

Nov 28th, 2020
649
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.90 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. use IEEE.std_logic_arith.all;
  4. use IEEE.std_logic_misc.all;
  5. use IEEE.std_logic_unsigned.all;
  6.  
  7. ENTITY IntMult_tb IS
  8.     port    (   Clk_out : OUT STD_LOGIC;
  9.                 rst_out: OUT STD_LOGIC;
  10.                 mult_start_out: OUT STD_LOGIC;
  11.                 multiplicand_out: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  12.                 multiplier_out: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
  13. END IntMult_tb;
  14.  
  15. ARCHITECTURE behavior OF IntMult_tb IS
  16.  
  17. constant Clk_period : time := 10 ns;
  18.  BEGIN
  19.              
  20.    Clk_process :process
  21.    begin
  22.         Clk_out <= '0';
  23.         wait for Clk_period/2;
  24.         Clk_out <= '1';
  25.         wait for Clk_period/2;
  26.    end process;
  27.  
  28.    stim_proc: process
  29.    begin
  30.         multiplicand_out <= "1101";
  31.         multiplier_out <= "1011";
  32.         rst_out <= '1';
  33.         wait for 5 ns;
  34.         rst_out <= '0';
  35.         mult_start_out <= '1';
  36.         wait for 10ns;
  37.         mult_start_out <= '0';
  38.         wait;
  39.    end process;
  40. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement