Advertisement
Guest User

Untitled

a guest
Nov 5th, 2018
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.58 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use ieee.std_logic_arith.all;
  4. use ieee.std_logic_unsigned.all;
  5.  
  6. entity lr4 is
  7. end lr4;
  8.  
  9. architecture Behavioral of lr4 is
  10.  
  11. COMPONENT lr4
  12.     Port(
  13.         clk ,we ,en : in std_logic;
  14.         addr : in std_logic_vector(7 downto 0);
  15.         di : in std_logic_vector(127 downto 0);
  16.         do : out std_logic_vector(127 downto 0)
  17.     );
  18. END COMPONENT;
  19.  
  20. --inputs
  21. signal addr : std_logic_vector(7 downto 0) := (others => '0');
  22. signal di : std_logic_vector(127 downto 0) := (others => '0');
  23. signal we : std_logic := '0';
  24. signal en : std_logic := '1';
  25. signal clk : std_logic := '0';
  26. --outputs
  27. signal do : std_logic_vector(127 downto 0);
  28.  
  29. begin
  30. uut: lr4 PORT MAP (
  31.         clk => clk,
  32.         we => we,
  33.         en => en,
  34.         addr => addr,
  35.         di => di,      
  36.         do => do
  37.     );
  38.    
  39. gen: --генератор тактов
  40.     process
  41.     begin
  42.         clk <= '0'; wait for 25 ns;
  43.         clk <= '1'; wait for 25 ns;
  44.     end process;
  45.  
  46.     process
  47.     begin
  48.         wait for 100 ns;
  49.         en <= '1';
  50.         we <= '1';  --write
  51.         addr <= "0000000";
  52.         di <= x"FF";
  53.         wait for 50 ns;
  54.         for i in 0 to 3 loop
  55.             addr <= addr + "0000001";
  56.             di <= di - x"01";
  57.             wait for 150 ns;
  58.         end loop;
  59.         addr <= "0000000";
  60.         we <= '0';  --read
  61.         wait for 50 ns;
  62.         for i in 0 to 3 loop
  63.             addr <= addr + "0000001";
  64.             wait for 150 ns;
  65.         end loop;
  66.         en <= '0';
  67.         wait;
  68.     end process;
  69. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement