Advertisement
thibthibaut

Double Moving Squares of SWAG

Dec 8th, 2014
156
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 6.20 KB | None | 0 0
  1.  ----------------------------------------------------------------------------------
  2.  -- Company:
  3.  -- Engineer:
  4.  --
  5.  -- Create Date:    09:55:25 11/21/2014
  6.  -- Design Name:
  7.  -- Module Name:    Counter - Behavioral
  8.  -- Project Name:
  9.  -- Target Devices:
  10.  -- Tool versions:
  11.  -- Description:
  12.  --
  13.  -- Dependencies:
  14.  --
  15.  -- Revision:
  16.  -- Revision 0.01 - File Created
  17.  -- Additional Comments:
  18.  --
  19.  ----------------------------------------------------------------------------------
  20.  library IEEE;
  21.  use IEEE.STD_LOGIC_1164.ALL;
  22.  use ieee.std_logic_unsigned.all;
  23.  
  24.  -- Uncomment the following library declaration if using
  25.  -- arithmetic functions with Signed or Unsigned values
  26.  use IEEE.NUMERIC_STD.ALL;
  27.  
  28.  -- Uncomment the following library declaration if instantiating
  29.  -- any Xilinx primitives in this code.
  30.  --library UNISIM;
  31.  --use UNISIM.VComponents.all;
  32.  
  33.  entity VGADriver is
  34.       Port ( Reset : in  STD_LOGIC;
  35.                 CLK50 : in  STD_LOGIC;
  36.                 Down, Right : in STD_LOGIC;
  37.                 R, G, B, Hsync, Vsync : out STD_LOGIC);
  38.  end VGADriver;
  39.  
  40.  architecture Behavioral of VGADriver is
  41.  
  42.  signal Add1, Add2 : STD_LOGIC_VECTOR(9 downto 0);
  43.  signal Reg1, Reg2 : STD_LOGIC_VECTOR(9 downto 0);
  44.  signal Mux1, Mux2 : STD_LOGIC_VECTOR(9 downto 0);
  45.  signal Cmp1, Cmp2 : STD_LOGIC;
  46.  
  47.  signal Rows : STD_LOGIC_VECTOR(9 downto 0) := "1100100000";
  48.  signal Columns : STD_LOGIC_VECTOR(9 downto 0) := "1000001001";
  49.  
  50.  signal CLK : STD_LOGIC;
  51.  
  52.  ----------- PLAYER -----------------
  53.  signal PosX, RegX : STD_LOGIC_VECTOR(9 downto 0) := "0010010000";
  54.  signal PosY, RegY : STD_LOGIC_VECTOR(9 downto 0) := "0000011111";
  55.  
  56.  signal AddX, SubX : STD_LOGIC_VECTOR(9 downto 0);
  57.  signal AddY, SubY : STD_LOGIC_VECTOR(9 downto 0);
  58.  -----------  BOT  ------------------
  59.  signal BPosX, BRegX : STD_LOGIC_VECTOR(9 downto 0) := "0100000000";
  60.  signal BPosY, BRegY : STD_LOGIC_VECTOR(9 downto 0) := "0010000000";
  61.  
  62.  
  63.  signal BAddX, BSubX : STD_LOGIC_VECTOR(9 downto 0);
  64.  signal BAddY, BSubY : STD_LOGIC_VECTOR(9 downto 0);
  65.  
  66.  signal BRightReg, BDownReg : STD_LOGIC := '1';
  67.  signal BRightMux, BDownMux : STD_LOGIC;
  68.  ----------- TIMER ------------------
  69.  signal TimerReg, TimerAdd, TimerMux : STD_LOGIC_VECTOR(25 downto 0);
  70.  signal TimerCmp, TimerCmpBot : STD_LOGIC;
  71.  
  72.  begin
  73.  
  74.  
  75. --posX <= posX + 1 when Right = '1' else posX - 1 when Left = '1';
  76. --posY <= posY + 1 when Down = '1'  else posY - 1 when Up = '1';
  77.  
  78. CLK <= not(CLK) when rising_edge(CLK50);
  79.  
  80. Hsync <= '0' when 0 <= Reg1 and Reg1 < 96 else '1';
  81.  
  82. Vsync <= '0' when 0 <= Reg2 and Reg2 < 2 else '1';
  83.  
  84. R <= '0' when (0 <= Reg1 and Reg1 < 144) OR (144+640 <= Reg1 and Reg1 < 144+640+16) OR (0 <= Reg2 and Reg2 < 31) OR (31+480 <= Reg2 and Reg2 < 31+480+10);
  85. B <= '0' when (0 <= Reg1 and Reg1 < 144) OR (144+640 <= Reg1 and Reg1 < 144+640+16) OR (0 <= Reg2 and Reg2 < 31) OR (31+480 <= Reg2 and Reg2 < 31+480+10) else
  86.         '1' when (BPosX <= Reg1 and Reg1 < BPosX+16) and (BPosY <= Reg2 and Reg2 < BPosY+16) else
  87.         '0';
  88. G <= '0' when (0 <= Reg1 and Reg1 < 144) OR (144+640 <= Reg1 and Reg1 < 144+640+16) OR (0 <= Reg2 and Reg2 < 31) OR (31+480 <= Reg2 and Reg2 < 31+480+10) else
  89.         '1' when (PosX <= Reg1 and Reg1 < PosX+16) and (PosY <= Reg2 and Reg2 < PosY+16) else
  90.         '0';
  91.                                    
  92. ----------------------------------------
  93.  
  94.  Add1 <= Reg1 + "0000000001";
  95.  
  96.  Mux1 <= Add1 when Cmp1 = '0' else "0000000000" when Cmp1 = '1';
  97.  
  98.  Cmp1 <= '1' when Add1 > Rows else '0';
  99.  
  100.  Reg1 <= "0000000000" when Reset = '0' else Mux1 when rising_edge(CLK);
  101.  
  102. -----------------------------------------
  103.  
  104.  Add2 <= Reg2 + "0000000001";
  105.  
  106.  Mux2 <= "0000000000" when Cmp2 = '1' and Cmp1='1' else
  107.          Add2 when Cmp2 = '0' and Cmp1 = '1' else
  108.             Reg2;
  109.  
  110.  Cmp2 <= '1' when Add2 > Columns else '0';
  111.  
  112.  Reg2 <= "0000000000" when Reset = '0' else Mux2 when rising_edge(CLK);
  113.  
  114. -----------------------------------------
  115. -- Timer
  116.                        
  117. TimerAdd <= TimerReg + "00000000000000000000000001";
  118. TimerReg <= TimerMux when rising_edge(CLK);
  119. TimerMux <= "00000000000000000000000000" when TimerCmp = '1' else
  120.                 TimerAdd ;
  121. TimerCmp <= '1' when TimerAdd > "00000001111010000100100000" else '0';
  122. TimerCmpBot <= '1' when TimerAdd > "00000001011010000100100000" else '0';
  123.  
  124. -----------------------------------------
  125. -- PosX
  126.  
  127. RegX <= PosX when rising_edge(CLK) ;
  128.  
  129. AddX <= RegX + "0000000001";
  130. SubX <= RegX - "0000000001";
  131.  
  132. PosX <= AddX when (Right = '1' and TimerCmp = '1' and RegX < "1100000000") else
  133.           SubX when (Right = '0' and TimerCmp = '1' and RegX > "0010010000") else
  134.           "0010010000" when Reset = '0' else
  135.           RegX;
  136.          
  137. -----------------------------------------
  138. -- PosY
  139.  
  140. RegY <= PosY when rising_edge(CLK) ;
  141.  
  142. AddY <= RegY + "0000000001";
  143. SubY <= RegY - "0000000001";
  144.  
  145. PosY <= AddY when (Down = '1' and TimerCmp = '1' and RegY < "0111111001") else
  146.           SubY when (Down = '0' and TimerCmp = '1' and RegY > "0000011111") else
  147.           "0010010000" when Reset = '0' else
  148.           RegY;
  149.          
  150. ------------------------------------------
  151. -- Bot X
  152.  
  153. BRegX <= BPosX when rising_edge(CLK);
  154.  
  155. BAddX <= BRegX + "0000000001";
  156. BSubX <= BRegX - "0000000001";
  157.  
  158. BPosX <= BAddX when (BRightMux = '1' and TimerCmp = '1') else
  159.           BSubX when (BRightMux = '0' and TimerCmp = '1') else
  160.           "0100000000" when Reset = '0' else
  161.           BRegX;
  162.                      
  163. BRightReg <= BRightMux when rising_edge(CLK);
  164. BRightMux <= '1' when ( BRightReg = '0' and ( BRegX < "0010010000" or (BRegX < RegX+16 and (BRegY<RegY+16 or BRegY+16>RegY)))) else
  165.                 '0' when (BRightReg = '1' and (BRegX > "1100000000" or (BRegX+16 > RegX and (BRegY<RegY+16 or BRegY+16>RegY)))) else
  166.                 BRightReg;
  167.  
  168. -----------------------------------------
  169. -- Bot Y
  170. BRegY <= BPosY when rising_edge(CLK);
  171.  
  172. BAddY <= BRegY + "0000000001";
  173. BSubY <= BRegY - "0000000001";
  174.  
  175. BPosY <= BAddY when (BDownMux = '1' and TimerCmp = '1') else
  176.           BSubY when (BDownMux = '0' and TimerCmp = '1') else
  177.           "0010000000" when Reset = '0' else
  178.           BRegY;
  179.  
  180. BDownReg <= BDownMux when rising_edge(CLK);
  181. BDownMux <= '1' when (BDownReg = '0' and (BRegY < 31 or (BRegY < RegY+16 and (BRegX<RegX+16 or BRegX+16>RegX) )) ) else
  182.                 '0' when (BDownReg = '1' and (BRegY > 505 or (BRegY+16 > RegY and (BRegX<RegX+16 or BRegX+16>RegX) )) ) else
  183.                 BDownReg;
  184.  
  185.  
  186.  end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement