Advertisement
redsees

Untitled

Feb 3rd, 2016
93
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2. module clkdiv_tb;
  3. reg clk,rst;
  4. reg[1:0] sw;
  5. wire out;
  6.  
  7. clkdiv clkdiv_inst(.clk(clk),.rst(rst),.sw(sw),.out(out));
  8.  
  9. always
  10.     #5 clk =  ~clk;
  11.    
  12. initial
  13. begin
  14.     sw = 2'b00;
  15.     clk =0;
  16.     rst =1;
  17.     #10 rst=0;
  18.     #10
  19.     sw = 2'b00;
  20.     #100
  21.     sw = 2'b01;
  22.     #100
  23.     sw = 2'b10;
  24.     #100
  25.     sw = 2'b11;
  26.     #100;
  27.     $finish;
  28. end
  29.  
  30. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement