Advertisement
dllbridge

Untitled

Dec 18th, 2023
794
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C 1.24 KB | None | 0 0
  1.  
  2. /*
  3.  
  4.  
  5. #include     <stdio.h>
  6. #include    <stdlib.h>
  7.  
  8.  
  9.  
  10.  
  11.  
  12.  
  13.  
  14. ////////////////////////////////////////////////////
  15. int main()                                        //
  16. {
  17.  
  18.     int *p = (int*)malloc(4);
  19.    
  20.     *p = 98;
  21.    
  22.    
  23.     printf("*p = %d\n", *p);
  24.  
  25. }
  26.  
  27. */
  28.  
  29.  
  30.  
  31.  
  32.  
  33.  
  34.  
  35.  
  36. //  va_list          //  Тип данных
  37. //  va_start();          void va_start(va_list param, последний_явный_параметр);
  38. //  va_arg  ();          type va_arg(va_list param, type);
  39. //  va_end  ();          void va_end(va_list param);
  40.  
  41.  
  42.  
  43.  
  44.  
  45.  
  46. #include    <stdio.h>
  47. #include   <stdarg.h>
  48.  
  49.  
  50.  
  51.  
  52. int sum(int n, ...);
  53.  
  54.  
  55.  
  56. ////////////////////////////////////////////////////
  57. int main()                                        //
  58. {
  59.  
  60.     int n = sum(2, 10, 7);
  61.        
  62.                                 printf("n = %d\n", n);    
  63.     n = sum(4, 10, 7, 2, 1);    printf("n = %d\n", n);
  64.    
  65.    
  66.  
  67.  
  68. }
  69.  
  70.  
  71.  
  72.  
  73.  
  74.  
  75. /////////////////////////////////////////////////////
  76. int sum(int n, ...)                                //  
  77. {
  78.    
  79.     int nSum = 0;
  80.    
  81.    
  82.     va_list  T;
  83.    
  84.     va_start(T, n);
  85.    
  86.     for(int i = 0; i < n; i++)
  87.     {
  88.        
  89.         nSum += va_arg(T, int);
  90.     }
  91.    
  92.     va_end(T);
  93.    
  94.    
  95. return nSum;   
  96. }
  97.  
  98.  
  99.  
  100.  
  101.  
  102.  
  103.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement