Advertisement
Guest User

Untitled

a guest
Mar 8th, 2019
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.19 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date:    19:34:24 02/07/2019
  6. -- Design Name:
  7. -- Module Name:    MUX2_1 - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. --use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity MUX2_1 is
  33.     port (Arith : in STD_LOGIC;
  34.            Logic : in STD_LOGIC;
  35.             sel : in std_logic_vector (2 downto 0);
  36.             Gout : out STD_LOGIC
  37.             );
  38. end MUX2_1;
  39.  
  40. architecture Behavioral of MUX2_1 is
  41.  
  42. begin
  43. process (Arith,Logic,sel)
  44. begin
  45.  
  46.   if (sel = "000" or sel = "011" or sel = "001")  then
  47. Gout <= Logic;
  48.   else
  49. Gout <= Arith;
  50.   end if;
  51. end process;
  52. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement