Advertisement
Guest User

Untitled

a guest
Jun 28th, 2018
75
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.41 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3.  
  4. ------------------------------------------------------------
  5. entity cw09z1_df2 is
  6.     port (x : in  std_logic_vector (1 to 5);
  7.             y : out  std_logic);
  8. end cw09z1_df2;
  9.  
  10. ------------------------------------------------------------
  11. -- architektura df2 - styl przepływowy (DataFlow)
  12. -- opis za pomocą tablicy (tabela prawdy funkcji f5)
  13. -- z wykorzystaniem instrukcji "with - select"
  14.  
  15. architecture df2 of cw09z1_df2 is
  16.  
  17. begin
  18.  
  19.     with x select
  20.         y <=    '1' when "00000",   -- A
  21.                 '0' when "00001",   -- Ą
  22.                 '0' when "00010",   -- C
  23.                 '0' when "00011",   -- B
  24.                 '0' when "00100",   -- Ę
  25.                 '1' when "00101",   -- E
  26.                 '0' when "00110",   -- Ć
  27.                 '0' when "00111",   -- D
  28.                 '0' when "01000",   -- F
  29.                 '0' when "01001",   -- G
  30.                 '1' when "01010",   -- I
  31.                 '0' when "01011",   -- H
  32.                 '0' when "01100",   -- Ł
  33.                 '0' when "01101",   -- L
  34.                 '1' when "01110",   -- J
  35.                 '1' when "01111",   -- K
  36.                 '0' when "10000",   -- Ś
  37.                 '0' when "10001",   -- T
  38.                 '1' when "10010",   -- W
  39.                 '0' when "10011",   -- U
  40.                 '0' when "10100",   -- Ż
  41.                 '0' when "10101",   -- Ź
  42.                 '0' when "10110",   -- Y
  43.                 '0' when "10111",   -- Z
  44.                 '0' when "11000",   -- M
  45.                 '1' when "11001",   -- N
  46.                 '1' when "11010",   -- O
  47.                 '0' when "11011",   -- Ń
  48.                 '1' when "11100",   -- S
  49.                 '1' when "11101",   -- R
  50.                 '0' when "11110",   -- Ó
  51.                 '0' when "11111",   -- P
  52.                 'X' when others;
  53.  
  54. end df2;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement