LeviCC

tuto_microblaze_top.vhd

Apr 10th, 2015
358
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.46 KB | None | 0 0
  1. -- tuto_microblaze_top.vhd
  2. -- Flavio "Levi" Capitao - 08.05.2015
  3. -- Tutorial Micoblaze MCS
  4. -- http://levi-ee.com
  5.  
  6. LIBRARY IEEE;
  7. USE IEEE.STD_LOGIC_1164.ALL;
  8. use IEEE.NUMERIC_STD.ALL;
  9.  
  10. entity tuto_microblaze_top is
  11.     port(
  12.             --FTDI
  13.             --FTDI_TX_i             :       in std_logic; -- not used
  14.             FTDI_RX_o               :       out std_logic;
  15.             FTDI_nRESET_o           :       out std_logic;
  16.             FTDI_nCTS_o          :     out std_logic; -- not used
  17.  
  18.             --DIPs
  19.             DIP_i                       :       in std_logic_vector(7 downto 0);
  20.            
  21.             --LEDs
  22.             --FPGA_LED_o                :       out std_logic_vector(7 downto 0);
  23.  
  24.          -- CLOCK
  25.          SP6_Clk_100MHz_i       :       in std_logic;
  26.            
  27.             -- RESET
  28.             SP6_nReset_i            :       in std_logic
  29.     );
  30. end tuto_microblaze_top;
  31.  
  32.  
  33. architecture Behavioral of tuto_microblaze_top is
  34.  
  35.     -- global reset (polarity adaptation)
  36.    signal reset_s : std_logic;
  37.      
  38.       signal test : STD_LOGIC_VECTOR(7 DOWNTO 0);
  39.      
  40.      
  41.     -- Microblaze COMPONENT
  42.     COMPONENT mb_mcs
  43.       PORT (
  44.          Clk : IN STD_LOGIC;
  45.          Reset : IN STD_LOGIC;
  46.          UART_Tx : OUT STD_LOGIC;
  47.          GPI1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0)
  48.       );
  49.     END COMPONENT;
  50.  
  51.     begin
  52.    
  53.     -- global reset (polarity adaptation)
  54.     reset_s <= not SP6_nReset_i;
  55.  
  56.     -- FTDI
  57.     --FTDI_RX_o <= FTDI_TX_i; -- loopback
  58.     FTDI_nRESET_o <= not reset_s;
  59.     FTDI_nCTS_o <= '1'; -- not used
  60.  
  61.     -- Microblaze INSTANTIATION
  62.    mcs_0 : mb_mcs
  63.    PORT MAP (
  64.         Clk => SP6_Clk_100MHz_i,
  65.         Reset => reset_s,
  66.         UART_Tx => FTDI_RX_o,
  67.         GPI1 => DIP_i
  68.   );
  69.  
  70. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment