Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- -- tuto_microblaze_top.vhd
- -- Flavio "Levi" Capitao - 08.05.2015
- -- Tutorial Micoblaze MCS
- -- http://levi-ee.com
- LIBRARY IEEE;
- USE IEEE.STD_LOGIC_1164.ALL;
- use IEEE.NUMERIC_STD.ALL;
- entity tuto_microblaze_top is
- port(
- --FTDI
- --FTDI_TX_i : in std_logic; -- not used
- FTDI_RX_o : out std_logic;
- FTDI_nRESET_o : out std_logic;
- FTDI_nCTS_o : out std_logic; -- not used
- --DIPs
- DIP_i : in std_logic_vector(7 downto 0);
- --LEDs
- --FPGA_LED_o : out std_logic_vector(7 downto 0);
- -- CLOCK
- SP6_Clk_100MHz_i : in std_logic;
- -- RESET
- SP6_nReset_i : in std_logic
- );
- end tuto_microblaze_top;
- architecture Behavioral of tuto_microblaze_top is
- -- global reset (polarity adaptation)
- signal reset_s : std_logic;
- signal test : STD_LOGIC_VECTOR(7 DOWNTO 0);
- -- Microblaze COMPONENT
- COMPONENT mb_mcs
- PORT (
- Clk : IN STD_LOGIC;
- Reset : IN STD_LOGIC;
- UART_Tx : OUT STD_LOGIC;
- GPI1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0)
- );
- END COMPONENT;
- begin
- -- global reset (polarity adaptation)
- reset_s <= not SP6_nReset_i;
- -- FTDI
- --FTDI_RX_o <= FTDI_TX_i; -- loopback
- FTDI_nRESET_o <= not reset_s;
- FTDI_nCTS_o <= '1'; -- not used
- -- Microblaze INSTANTIATION
- mcs_0 : mb_mcs
- PORT MAP (
- Clk => SP6_Clk_100MHz_i,
- Reset => reset_s,
- UART_Tx => FTDI_RX_o,
- GPI1 => DIP_i
- );
- end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment