hbinderup94

reset_logic

May 25th, 2017
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.64 KB | None | 0 0
  1. --------- reset_logic -----------
  2. Library ieee;
  3. use ieee.std_logic_1164.all;
  4. use ieee.numeric_std.all;
  5.  
  6. entity reset_logic is
  7. port(
  8.     reset_in        : in std_logic;
  9.     hrs_bin1        : in std_logic_vector(3 downto 0);
  10.     hrs_bin10       : in std_logic_vector(3 downto 0);
  11.     reset_out       : out std_logic);
  12. end reset_logic;
  13.  
  14. architecture structural of reset_logic is
  15.    
  16. begin
  17.    
  18.     reset_process: process(reset_in)  
  19.     begin       -- reset ved aktivering af reset_in eller ved hΓΈjere end 24 timer
  20.         if ((reset_in = '0') or ((hrs_bin1 = "0100") and hrs_bin10 = "0010")) then
  21.             reset_out <= '0';
  22.         else
  23.             reset_out <= '1';
  24.         end if;
  25.     end process;
  26.    
  27. end structural;
Advertisement
Add Comment
Please, Sign In to add comment