Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.all;
- entity KombMreze is
- port(
- iSW : in std_logic_vector (7 downto 0);
- iINV : in std_logic;
- oLED : out std_logic_vector (7 downto 0);
- oSIGN : out std_logic;
- oGREAT : out std_logic
- );
- end entity;
- architecture Behavioral of KombMreze is
- begin
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement