Advertisement
hbinderup94

count_ones_tester

Apr 23rd, 2017
102
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.05 KB | None | 0 0
  1. --------- count_ones_tester ---------
  2. Library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity count_ones_tester is
  6. port(
  7.     SW      : in std_logic_vector(7 downto 0);
  8.     HEX0    : out std_logic_vector(6 downto 0);
  9.     HEX1    : out std_logic_vector(6 downto 0);
  10.     HEX2    : out std_logic_vector(6 downto 0);
  11.     HEX3    : out std_logic_vector(6 downto 0);
  12.     HEX4    : out std_logic_vector(6 downto 0);
  13.     HEX5    : out std_logic_vector(6 downto 0);
  14.     HEX6    : out std_logic_vector(6 downto 0);
  15.     HEX7    : out std_logic_vector(6 downto 0));
  16. end count_ones_tester;
  17.  
  18. architecture structural of count_ones_tester is
  19.     signal onesBin : std_logic_vector(3 downto 0);
  20.  
  21. begin
  22. I1: entity work.count_ones
  23.     port map(
  24.         A       => SW,
  25.         ones    => onesBin);
  26.        
  27. I2: entity work.bin2hex
  28.     port map(
  29.         bin     => onesBin,
  30.         seg     => HEX0);
  31.        
  32.         -- Vi slukker alle HEX displays som ikke er i brug; bare for at gøre spillet pænere.
  33.         HEX1    <= "1111111";
  34.         HEX2    <= "1111111";
  35.         HEX3    <= "1111111";
  36.         HEX4    <= "1111111";
  37.         HEX5    <= "1111111";
  38.         HEX6    <= "1111111";
  39.         HEX7    <= "1111111";
  40. end structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement