Advertisement
Guest User

Untitled

a guest
Oct 6th, 2017
56
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.82 KB | None | 0 0
  1. entity sc_4b_ula is
  2.     port
  3.     (
  4.         x,y     : in std_logic_vector(3 downto 0);          --sinais de entrada
  5.         ei      : in std_logic_vector(3 downto 0);
  6.         s       : out std_logic_vector(3 downto 0);
  7.         zs      : out std_logic
  8.     );
  9. end sc_4b_ula;
  10.  
  11. architecture estrutural of sc_4b_ula is -- declaração estrutural da entidade "sc"
  12.  
  13. signal vi   : std_logic_vector(3 downto 1);     -- declaração dos sinais para interligação
  14.  
  15. component somador_completo port(
  16.     a,b,ci  :   in std_logic;
  17.     s,co    :   out std_logic);
  18. end component somador_completo;
  19.  
  20. begin
  21.     sc0: somador_completo port map(x(0), y(0), ei(0), s(0), vi(1));
  22.     sc1: somador_completo port map(x(1), y(1), ei(1) or vi(1), s(1), vi(2));
  23.     sc2: somador_completo port map(x(2), y(2), ei(2) or vi(2), s(2), vi(3));
  24.     sc3: somador_completo port map(x(3), y(3), ei(3) or vi(3), s(3), zs);
  25.    
  26. end estrutural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement