Advertisement
Sidsh

Untitled

Jan 31st, 2022
122
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.47 KB | None | 0 0
  1. module LED(
  2. input hl,
  3. input hl1,
  4. input [2:0] id,
  5. input clk_50,
  6. output r1,
  7. output g2,
  8. output b3
  9. );
  10.  
  11. reg R1=0;
  12. reg G2=0;
  13. reg B3=0;
  14.  
  15.  
  16. always @ (posedge clk_50)
  17. begin
  18. if (id == 0)
  19. begin
  20. R1 = 1;
  21. end
  22. else
  23. if (id == 1)
  24. begin
  25. G2 = 1;
  26. end
  27. if (id == 2)
  28. begin
  29. B3 = 1;
  30. end
  31.  
  32. end
  33. assign r1= R1;
  34. assign g2= G2;
  35. assign b3= B3;
  36.  
  37. endmodule
  38.  
  39.  
  40.  
  41.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement