Advertisement
Guest User

Untitled

a guest
Nov 12th, 2019
236
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.13 KB | None | 0 0
  1. library ieee;
  2.  
  3. use ieee.std_logic_1164.all;
  4.  
  5. use ieee.std_logic_arith.all;
  6.  
  7.  
  8.  
  9. entity dc_gray is
  10.  
  11.   port
  12.  
  13.   ( b_in      : in std_logic_vector (3 downto 0); --:= "1010";
  14.  
  15.  
  16.  
  17.     b_out      : out std_logic_vector (3 downto 0)
  18.  
  19.   );
  20.  
  21. end dc_gray;
  22.  
  23.  
  24.  
  25.  
  26.  
  27.  
  28.  
  29.  
  30.  
  31. ARCHITECTURE behv OF dc_gray IS
  32.  
  33.  
  34.  
  35. BEGIN
  36.  
  37.  
  38.  
  39.     b_out <= "0000" WHEN b_in = "0000" ELSE
  40.  
  41.  
  42.  
  43.            "0001" WHEN b_in = "0001" ELSE
  44.  
  45.  
  46.  
  47.            "0010" WHEN b_in = "0011" ELSE
  48.  
  49.  
  50.  
  51.            "0011" WHEN b_in = "0010" ELSE
  52.  
  53.  
  54.  
  55.            "0100" WHEN b_in = "0110" ELSE
  56.  
  57.  
  58.  
  59.            "0101" WHEN b_in = "0111" ELSE
  60.  
  61.  
  62.  
  63.            "0110" WHEN b_in = "0101" ELSE
  64.  
  65.  
  66.  
  67.            "0111" WHEN b_in = "0100" ELSE
  68.  
  69.  
  70.  
  71.            "1000" WHEN b_in = "1100" ELSE
  72.  
  73.  
  74.  
  75.            "1001" WHEN b_in = "1101" ELSE
  76.  
  77.  
  78.  
  79.            "1010" WHEN b_in = "1111" ELSE
  80.  
  81.  
  82.  
  83.            "1011" WHEN b_in = "1110" ELSE
  84.  
  85.  
  86.  
  87.            "1100" WHEN b_in = "1010" ELSE
  88.  
  89.  
  90.  
  91.            "1101" WHEN b_in = "1011" ELSE
  92.  
  93.  
  94.  
  95.            "1110" WHEN b_in = "1001" ELSE
  96.  
  97.  
  98.  
  99.            "1111" WHEN b_in = "1000" ELSE
  100.  
  101.  
  102.  
  103.            "0000";
  104.  
  105.  
  106.  
  107. END behv;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement