hbinderup94

mee_moo_tester

May 26th, 2017
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.43 KB | None | 0 0
  1. ------- mee_moo_tester ------
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity mee_moo_tester is
  6. port(
  7.     KEY, SW : in std_logic_vector(1 downto 0);
  8.     LEDR    : out std_logic_vector(1 downto 0));
  9. end mee_moo_tester;
  10.  
  11. architecture structural of mee_moo_tester is
  12. begin
  13.  
  14. I1: entity work.mee_moo
  15.      port map(
  16.         clk     => KEY(0),
  17.         reset   => KEY(1),
  18.         inp     => SW,
  19.         moo_out => LEDR(0),
  20.         mee_out => LEDR(1));
  21.    
  22. end structural;
Advertisement
Add Comment
Please, Sign In to add comment