Advertisement
voik3

Untitled

Jun 26th, 2019
258
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.72 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity trans is
  5.     Port ( wej : in  STD_LOGIC_VECTOR(3 downto 0);
  6.            wyj : out  STD_LOGIC_VECTOR(6 downto 0));
  7. end trans;
  8.  
  9. architecture Behavioral of trans is
  10.  
  11. begin
  12. with wej select
  13. wyj <= "0001100" when "0000",
  14.          "0101111" when "0001",
  15.          "0100011" when "0010",
  16.          "0010010" when "0011",
  17.          "0000111" when "0100",
  18.          "0000110" when "0101",
  19.          "1110111" when "0110",
  20.          "0100100" when "0111",
  21.          "0001000" when "1000",
  22.          "0100001" when "1001",
  23.          "0001000" when "1010",
  24.          "0101011" when "1011",
  25.          "1111011" when "1100",
  26.          "0000110" when "1101",
  27.          "1110111" when "1110",
  28.          "1110111" when "1111",
  29.          "0000000" when others;
  30. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement