Advertisement
IGORKO9

Test_bench for decoder 8 to 3

Jan 17th, 2019
99
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.47 KB | None | 0 0
  1. --18.01.2019 Igor Kojic
  2.  
  3. library IEEE;
  4. use IEEE.STD_LOGIC_1164.ALL;
  5.  
  6. entity dec4to8_tb is
  7. end dec4to8_tb;
  8.  
  9. architecture Behavioral of dec4to8_tb is
  10. component dec4to8
  11. port(
  12.     y: in std_logic_vector(2 downto 0);
  13.     x: out std_logic_vector(7 downto 0)
  14. );
  15. end component;
  16.  
  17. signal y: std_logic_vector(2 downto 0);
  18. signal x: std_logic_vector(7 downto 0);
  19.  
  20. begin utt:
  21. dec4to8 port map(
  22.     y => y,
  23.     x => x
  24. );
  25.  
  26.     y <= "101" after 2 us;
  27.  
  28.  
  29. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement