Advertisement
Guest User

Untitled

a guest
Jun 28th, 2018
65
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.43 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3. --------------------------------------------------
  4. entity vand4 is
  5.     port (i1 : in  std_logic;
  6.             i2 : in  std_logic;
  7.             i3 : in  std_logic;
  8.             i4 : in  std_logic;
  9.             o : out  std_logic);
  10. end vand4;
  11. --------------------------------------------------
  12. architecture df1 of vand4 is
  13. begin
  14.     o <= i1 and i2 and i3 and i4 after 1 ns;
  15. end df1;
  16. --------------------------------------------------
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement