Advertisement
Guest User

Untitled

a guest
May 27th, 2017
60
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.05 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4.  
  5. entity clocks_reset_gen is
  6.     port (
  7.         clock : in std_logic;
  8.         clock50 : out std_logic;
  9.         clock200 : out std_logic;
  10.         reset : out std_logic
  11.     );
  12. end entity clocks_reset_gen;
  13.  
  14. architecture Behavioral of clocks_reset_gen is
  15.     signal clkin_ibufg : std_logic;
  16.     signal dcm_reset : std_logic;
  17.     signal locked : std_logic;
  18. --  signal reset_in2 : std_logic := '1';
  19.     signal reset_in2 : std_logic;
  20.     signal clock50_sig : std_logic;
  21. begin
  22.  
  23.     clock50 <= clock50_sig;
  24.  
  25.     Inst_reset1: entity work.reset
  26.     PORT MAP(
  27.         clock => clkin_ibufg,
  28.         reset_in => '0',
  29.         reset => dcm_reset
  30.     );
  31.  
  32.     reset_in2 <= not locked;
  33.     Inst_reset2: entity work.reset
  34.     PORT MAP(
  35.         clock => clock50_sig,
  36.         reset_in => reset_in2,
  37.         reset => reset
  38.     );
  39.  
  40.     Inst_DCM_BRAM: entity work.DCM_BRAM
  41.     GENERIC MAP(
  42.         CLKFX_MULTIPLY => 5
  43.     )
  44.     PORT MAP(
  45.         CLKIN_IN => clock,
  46.         RST_IN => dcm_reset,
  47.         CLKFX_OUT => clock200,
  48.         CLKIN_IBUFG_OUT => clkin_ibufg,
  49.         CLK0_OUT => clock50_sig,
  50.         LOCKED_OUT => locked
  51.     );
  52.  
  53. end;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement