hbinderup94

watch_tester

May 25th, 2017
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.92 KB | None | 0 0
  1. --------- watch_tester -----------
  2. Library ieee;
  3. use ieee.std_logic_1164.all;
  4. use ieee.numeric_std.all;
  5.  
  6. entity watch_tester is
  7. port(
  8.     KEY         : in std_logic_vector(3 downto 0);
  9.     CLOCK_50    : in std_logic;
  10.     HEX0        : out std_logic_vector(6 downto 0);
  11.     HEX1        : out std_logic_vector(6 downto 0);
  12.     HEX2        : out std_logic_vector(6 downto 0);
  13.     HEX3        : out std_logic_vector(6 downto 0);
  14.     HEX4        : out std_logic_vector(6 downto 0);
  15.     HEX5        : out std_logic_vector(6 downto 0);
  16.     HEX6        : out std_logic_vector(6 downto 0);
  17.     HEX7        : out std_logic_vector(6 downto 0));
  18.  
  19. end watch_tester;
  20.  
  21. architecture structural of watch_tester is
  22. begin
  23.            
  24. H10Bin: entity work.watch
  25.      port map(
  26.             clk     => CLOCK_50,
  27.             speed   => KEY(0),
  28.             reset   => KEY(3),
  29.             sec_1   => HEX2,
  30.             sec_10  => HEX3,
  31.             min_1   => HEX4,
  32.             min_10  => HEX5,
  33.             hrs_1   => HEX6,
  34.             hrs_10  => HEX7);
  35.            
  36.             HEX0    <= "1111111";
  37.             HEX1    <= "1111111";
  38.            
  39. end structural;
Add Comment
Please, Sign In to add comment