Advertisement
Guest User

Untitled

a guest
Jun 28th, 2018
83
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.26 KB | None | 0 0
  1. process (CLK, RES_IN, MODE_UP) begin
  2.     if RES_IN = '1' then
  3.         cntval <= "000000000000000000000000000";
  4.     elseif (CLK'event and clk='1') then
  5.         if (MODE_UP = '1') then
  6.             cntval <= cntval+1;
  7.         else
  8.             cntval <= cntval-1;
  9.         end if;
  10.     else
  11.     end if;
  12. end process;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement