Advertisement
hbinderup94

code_lock_simple_tester

May 26th, 2017
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.52 KB | None | 0 0
  1. ------ code_lock_simple_tester ------
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity code_lock_simple_tester is
  6. port(
  7.     CLOCK_50    : in std_logic;
  8.     KEY         : in std_logic_vector(1 downto 0);
  9.     SW          : in std_logic_vector(3 downto 0);
  10.     LEDR0       : out std_logic);
  11. end code_lock_simple_tester;
  12.  
  13.  
  14. architecture structural of code_lock_simple_tester is
  15. begin
  16.  
  17. I1: entity work.code_lock_simple
  18.      port map(
  19.      clk    => CLOCK_50,
  20.      reset  => KEY(1),
  21.      code   => SW,
  22.      enter  => KEY(0),
  23.      lock   => LEDR0); 
  24.      
  25. end structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement