Advertisement
Guest User

Untitled

a guest
Jul 29th, 2017
48
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.30 KB | None | 0 0
  1. if (horizontal_counter >= 300 ) and (horizontal_counter < 364 ) and (vertical_counter >= 300 ) and (vertical_counter < 332 )
  2. then
  3.  
  4.    
  5.         green_out <= screen(a)(b);
  6.  
  7.    
  8.     if a<63 then
  9.         a<=a+1;
  10.     elsif b<31 then
  11.         b<=b+1;
  12.         a<=0;
  13.     else
  14.         a<=0;
  15.         b<=0;
  16.     end if;
  17.  
  18. else
  19.     green_out <= '0';
  20. end if;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement