Advertisement
Guest User

Untitled

a guest
Mar 25th, 2020
98
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.78 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4.  
  5. -- CPOL0 (Idle CLK = 0)
  6. -- CPHA1 (Change on rising edge, sampling on falling)
  7.  
  8. entity spimaster is
  9.   port (
  10.     CLK_IN           : in  std_logic;
  11.     DATA_IN_H        : in  std_logic_vector(7 downto 0);
  12.     DATA_IN_L        : in  std_logic_vector(7 downto 0);
  13.     CS_OUT           : out std_logic;
  14.     BUSY_FLAG        : out std_logic;
  15.     MOSI             : out std_logic;
  16.     ENABLE           : in  std_logic;
  17.     SEND_CONFIG      : in  std_logic;
  18.     CONFIG_BIT_STATE : in  std_logic;
  19.     SPI_CLK          : out std_logic
  20.     );
  21. end entity;
  22.  
  23. architecture arch of spimaster is
  24. begin
  25.  
  26.   process(CLK_IN)
  27.   begin
  28.     if(rising_edge(CLK_IN)) then
  29.  
  30.     end if;
  31.   end process;
  32.  
  33.  
  34.  
  35. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement