Guest User

Untitled

a guest
Oct 22nd, 2017
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.07 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3.  
  4. ENTITY c_sinc IS
  5.     PORT (  CLK, r: IN STD_LOGIC;  
  6.         sr: out std_logic);
  7. END c_sinc;
  8.  
  9. ARCHITECTURE comportamental OF c_sinc IS
  10.     TYPE type_state IS (E0,E1,E2);         
  11.     SIGNAL Estado: type_state;             
  12.     SIGNAL Entradas: STD_LOGIC  
  13.        
  14. BEGIN
  15.     Entradas<= r;      
  16.     sr<='1'
  17.     PROCESS (CLK)      
  18.     BEGIN
  19.    
  20.        IF (CLK'event and CLK='1') THEN  
  21.                    
  22.             CASE Estado IS
  23.  
  24.                 WHEN E0 =>
  25.                    if r='1' then Estado <= E0
  26.                    elsif Entradas='0' then Estado <= E1; sr<=1;
  27.                    end if;
  28.                    
  29.                 WHEN E1 =>
  30.                
  31.                     IF r='1' THEN Estado <= E0;    
  32.                     ELSIF Entradas= '0' THEN Estado <= E2;  sr<='0';
  33.                     END IF;
  34.                    
  35.                 WHEN E2 =>
  36.                    
  37.                     IF r='1' THEN Estado <= E0;    
  38.                     else r<='1';
  39.                     END IF;
  40.                    
  41.        
  42.         END CASE;
  43.         END IF;
  44.     END PROCESS;
  45. END comportamental;
Add Comment
Please, Sign In to add comment