Advertisement
Guest User

Untitled

a guest
Jun 19th, 2019
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.16 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date:    11:49:43 05/21/2019
  6. -- Design Name:
  7. -- Module Name:    demux1na4 - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. --use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity demux1na4 is
  33.     Port ( wyjscie : out  STD_LOGIC_VECTOR (3 downto 0);
  34.            wejscie : in  STD_LOGIC_VECTOR (1 downto 0));
  35. end demux1na4;
  36.  
  37. architecture Behavioral of demux1na4 is
  38.  
  39. begin
  40.  
  41. with wejscie select
  42.     wyjscie <=  "1110" when "00",
  43.                     "1101" when "01",
  44.                     "1011" when "10",
  45.                     "0111" when others;
  46.  
  47.  
  48. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement