Advertisement
Guest User

Untitled

a guest
Apr 24th, 2017
68
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.31 KB | None | 0 0
  1. -- this is the basic method to create a register with
  2. -- data_d as its input, data_q as its output, and clk as the clock signal
  3.  
  4. process (clk, data_d, data_q)
  5. begin
  6.     if rising_edge(clk) then
  7.         data_q <= data_d;
  8.     else
  9.         data_q <= data_q; -- included for clarity, the data is held constant
  10.     endif;
  11. end process;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement