Advertisement
rommik

TEST

Mar 15th, 2020
982
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.18 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. use IEEE.numeric_std.ALL;
  4. use STD.textio.all;
  5. use IEEE.std_logic_textio.ALL;
  6.  
  7.  
  8. entity testbench is
  9. end entity;
  10.  
  11. architecture test of testbench is
  12.    
  13.     component dvb_s2x_interleaver
  14.         Port(
  15.               i_clk             :  in  std_logic;                              
  16.               i_res             :  in  std_logic;                              
  17.               i_enb             :  in  std_logic;                              
  18.               i_modcode         :  in  std_logic_vector (7 downto 0);
  19.               i_data            :  in  std_logic_vector (0 downto 0);          
  20.               o_data            :  out std_logic_vector (0 downto 0);        
  21.               o_data_valid      :  out std_logic
  22.         );
  23.      end component;
  24.      
  25.         signal      i_clk            : std_logic;
  26.         signal      i_res            :    std_logic;                              
  27.         signal      i_enb             :    std_logic;                              
  28.         signal      i_modcode         :    std_logic_vector (7 downto 0);
  29.         signal      i_data            :    std_logic_vector (0 downto 0);          
  30.         signal      o_data            :   std_logic_vector (0 downto 0);        
  31.         signal      o_data_valid      :   std_logic;
  32.        
  33.         constant clk_period : time := 1 ns;
  34.        
  35.        
  36. begin
  37.  
  38.     dut: dvb_s2x_interleaver
  39.         port map(
  40.             i_clk => i_clk,
  41.             i_res => i_res,
  42.             i_enb => i_enb,
  43.             i_modcode => i_modcode,
  44.             i_data => i_data,
  45.             o_data => o_data,
  46.             o_data_valid => o_data_valid
  47.         );
  48.        
  49.         clock: process
  50.         begin
  51.             wait for clk_period/2;
  52.             i_clk <= '1';
  53.             wait for clk_period/2;
  54.             i_clk <= '0';
  55.         end process;
  56.        
  57.         data: process
  58.         begin
  59.             i_res <= '1';
  60.             wait for 10 ns;
  61.             i_res <= '0';
  62.             i_enb <= '1';
  63.             wait for 10 ns;
  64.            
  65.             i_modcode <= "00000000";
  66.             i_data <= "1";
  67.            
  68.         end process;
  69. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement