hbinderup94

my_gates_tester

May 27th, 2017
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.47 KB | None | 0 0
  1. ------ my_gates_tester ------
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4. use work.my_gates_package.all; -- my_gates_package er inkluderet(!!)
  5.  
  6. entity my_gates_tester is
  7. port(
  8.     SW      : in std_logic_vector(1 downto 0);
  9.     LEDR    : out std_logic_vector(2 downto 0));
  10. end my_gates_tester;
  11.  
  12. architecture structural of my_gates_tester is
  13. begin
  14.  
  15.     -- Funktionen og procesuren kaldes
  16.     LEDR(0) <= my_xor(SW(0), SW(1));
  17.     and_or(SW(0), SW(1), LEDR(1), LEDR(2));
  18.  
  19. end structural;
Advertisement
Add Comment
Please, Sign In to add comment