Advertisement
Oleguer

XOR

Mar 4th, 2022
1,065
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.28 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3.  
  4. entity xor_vhdl is
  5.  
  6.     port(A1 : in std_logic;      
  7.          A2 : in std_logic;      
  8.          X1 : out std_logic);  
  9.              
  10. end xor_vhdl;
  11.              
  12. architecture logic of xor_vhdl is
  13.  
  14.  begin
  15.  
  16.      X1 <= A1 xor A2;  
  17.    
  18. end logic;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement