Advertisement
voik3

Untitled

Jun 26th, 2019
268
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.48 KB | None | 0 0
  1.  
  2. library IEEE;
  3. use IEEE.STD_LOGIC_1164.ALL;
  4. use IEEE.STD_LOGIC_ARITH.ALL;
  5. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  6.  
  7.  
  8. entity Dzielnik_1024 is
  9.     Port ( clk : in  STD_LOGIC;
  10.            wyj : out  STD_LOGIC);
  11. end Dzielnik_1024;
  12.  
  13. architecture Behavioral of Dzielnik_1024 is
  14. signal licznik: std_logic_vector ( 7 downto 0 ) := "00000000";
  15. begin
  16. process (clk)
  17.     begin
  18.         if clk = '1' and clk'event then
  19.         licznik <= licznik+1;
  20.     end if;
  21. end process;
  22. wyj <= licznik(7);
  23.  
  24. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement