Advertisement
Guest User

Untitled

a guest
May 16th, 2018
105
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.20 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3.  
  4. entity counter is
  5.     generic(
  6.     N:integer:=4
  7.     );
  8.      port(
  9.          sum : in STD_LOGIC;
  10.          dec : in STD_LOGIC;
  11.          inp : in STD_LOGIC;
  12.          zero : in STD_LOGIC;
  13.          q: out std_logic_vector(N downto 1)
  14.          );
  15. end counter;
  16.  
  17. --}} End of automatically maintained section
  18.  
  19. architecture counter of counter is   
  20. component jktrigger
  21.     port(
  22.          nsa : in STD_LOGIC;
  23.          J : in STD_LOGIC;
  24.          C : in STD_LOGIC;
  25.          K : in STD_LOGIC;
  26.          nra : in STD_LOGIC;
  27.          Q : out STD_LOGIC;
  28.          nQ : out STD_LOGIC
  29.          );
  30. end component jktrigger;
  31. component and_and_or
  32.      port(
  33.          x1 : in STD_LOGIC;
  34.          x2 : in STD_LOGIC;
  35.          x3 : in STD_LOGIC;
  36.          x4 : in STD_LOGIC;
  37.          o : out STD_LOGIC
  38.          );
  39. end component and_and_or;
  40. signal or2_in: Std_logic_vector(1 to N);
  41. signal q_in, nq_in: Std_logic_vector(1 to N+1);
  42. signal ra:std_logic;
  43. begin
  44.     q_in(1) <= inp;
  45.     nq_in(1) <= not inp;
  46.     ra <= not zero;
  47.     trigs: for i in 1 to N generate
  48.         jktrigger: jktrigger port map('1', '1', or2_in(i), '1', ra, q_in(i+1), nq_in(i+1));  
  49.         or_n: and_and_or port map(sum, q_in(i), dec, nq_in(i), or2_in(i));
  50.         q(i) <= q_in(i+1);
  51.     end generate;
  52.      -- enter your statements here --
  53.  
  54. end counter;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement