Advertisement
Guest User

Untitled

a guest
Jun 27th, 2017
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.13 KB | None | 0 0
  1. --------------------------------------------------------------------------------
  2. -- SystemTop.vhd
  3. -- Top-Level des Kernsystems (einige Hilfsmodule sind in Basys2Top instanziert)
  4. --------------------------------------------------------------------------------
  5.  
  6. library ieee;  
  7. use ieee.std_logic_1164.all;
  8.  
  9. entity SystemTop is
  10.   port (
  11.         btn         : in  std_ulogic_vector (2 downto 0);   -- Taster 1,2,3 des Boards (Taster 0 ist der Resettaster)
  12.         sw          : in  std_ulogic_vector (7 downto 0);   -- Schalter des Boards
  13.         ps2code     : in  std_ulogic_vector (15 downto 0);  -- PS2 Code von Tastatur
  14.  
  15.         seg0        : out std_ulogic_vector (6 downto 0);   -- Siebensegmentanzeige 0 (= rechts)
  16.         dp0         : out std_ulogic;                       -- Dezimalpunkt 0
  17.         seg1        : out std_ulogic_vector (6 downto 0);   -- Siebensegmentanzeige 1
  18.         dp1         : out std_ulogic;                       -- Dezimalpunkt 1
  19.         seg2        : out std_ulogic_vector (6 downto 0);   -- Siebensegmentanzeige 2
  20.         dp2         : out std_ulogic;                       -- Dezimalpunkt 2
  21.         seg3        : out std_ulogic_vector (6 downto 0);   -- Siebensegmentanzeige 3 (= links)
  22.         dp3         : out std_ulogic;                       -- Dezimalpunkt 3
  23.              
  24.         led         : out std_ulogic_vector (7 downto 0)    -- 8 LEDs (1 = an)
  25.     );
  26. end;
  27.  
  28. architecture rtl of SystemTop is
  29.  
  30. -- >>>>>>>>>>  Hier: Ihr Code   <<<<<<<<<<<<
  31.       component SevenSegDecoder
  32.          port(dpin        : in std_ulogic;
  33.               hexval      : in std_ulogic_vector (4 downto 0);
  34.               segout      : out std_ulogic_vector (6 downto 0);
  35.               dpout       : out std_ulogic
  36.               );
  37.       end component;
  38.      
  39.       begin
  40.         comp0 : SevenSegDecoder
  41.             port map (,seq0,dp0);
  42.            
  43.         comp1 : SevenSegDecoder
  44.             port map (,seq1,dp1);
  45.                              
  46.         comp2 : SevenSegDecoder
  47.             port map (,seq2,dp2);
  48.            
  49.         comp3 : SevenSegDecoder
  50.             port map (,seq3,dp3);
  51.            
  52. end rtl;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement