Advertisement
Guest User

Untitled

a guest
Nov 4th, 2018
106
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.87 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE ieee.std_logic_arith.all;
  4. ENTITY test_bench IS
  5. END ENTITY test_bench;
  6.  
  7. ARCHITECTURE a OF test_bench IS
  8. signal tbclk,tbrst : std_logic;
  9. signal tbcoded : std_logic_vector(7 downto 0);
  10. signal syncro : std_logic;
  11. component lab81 IS
  12.     port( clk : in STD_LOGIC;
  13.         srst : in STD_LOGIC;
  14.         dout : out STD_LOGIC_VECTOR (7 downto 0));
  15. END component;
  16. BEGIN
  17. generate_clk : process begin
  18.     loop
  19.         tbclk <= '0';
  20.         wait for 25 ns;
  21.         tbclk <= '1';
  22.         wait for 25 ns;
  23.         end loop;
  24. end process;
  25. generate_rst : process begin
  26.     tbrst <= '1', '0' after 175 ns;
  27.     wait;
  28. end process;
  29. process(tbclk) begin
  30.     if rising_edge(tbclk) then
  31.         syncro <= tbrst;
  32.     end if;
  33. end process;
  34. b : lab81
  35. port map ( clk => tbclk,
  36.     srst => syncro,
  37.     dout => tbcoded);
  38. END ARCHITECTURE a;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement