Advertisement
Guest User

Untitled

a guest
Jul 4th, 2018
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.59 KB | None | 0 0
  1.  
  2. architecture Behavioral of fsmmc1 is
  3.  
  4.  
  5.         signal cntval : STD_LOGIC_VECTOR(26 downto 0);
  6.        
  7.         signal e : STD_LOGIC_VECTOR(2 downto 0);
  8.  
  9.         signal mode : STD_LOGIC;
  10.         signal RES_IN : STD_LOGIC;
  11.         signal t1 : STD_LOGIC;
  12.         signal t2 : STD_LOGIC;
  13.         signal t3 : STD_LOGIC;
  14.         signal t4 : STD_LOGIC;
  15.         signal t5 : STD_LOGIC;
  16.         signal m1 : STD_LOGIC;
  17.         signal m0 : STD_LOGIC;
  18.         signal mode : STD_LOGIC;
  19.          signal n : integer;
  20.  
  21. begin
  22.  
  23.         e(2) <= cntval(26);
  24.         e(1) <= cntval(25);
  25.         e(0) <= cntval(24);
  26.         n <= to_integer(unsigned(e));      
  27.  
  28.     process (CLK, RES_IN, MODE_UP) begin
  29.     if RES_IN = '1' then
  30.         cntval <= "000000000000000000000000000";
  31.     elsif (CLK'event and clk='1') then
  32.         if (MODE_UP = '1') then
  33.             cntval <= cntval+1;
  34.         else
  35.             cntval <= cntval-1;
  36.         end if;
  37.     else
  38.     end if;
  39.     end process;
  40.  
  41.         process(mode,n)
  42.         begin
  43.             t1 <= '0';
  44.             t2 <= '0';
  45.             t3 <= '0';
  46.             t4 <= '0';
  47.             t5 <= '0';
  48.             m1 <= '0';
  49.             m0 <= '0';
  50.             mode <= '0';
  51.             case mode is
  52.                 when 0 =>
  53.                 m0 => '1';
  54.                 when 1 =>
  55.                 m1 => '1';
  56.             case n is
  57.                 when 0 =>
  58.                 t1 <= '1';
  59.                 when 1 =>
  60.                 t2 <= '1';
  61.                 when 2 =>
  62.                 t3 <= '1';
  63.                 when 3 =>
  64.                     case mode is
  65.                         when 0 =>
  66.                         t1 <= '1';
  67.                         n = 0;
  68.                         when 1 =>
  69.                         t4 <= '1';
  70.                 when 4 =>
  71.                 t5 <= '1';
  72.                 when 5 =>
  73.                 t1 <= '1';
  74.                 n = 0;
  75.                 when others =>
  76.                 n <= '0';
  77.             end case;
  78.         end process;
  79.             LED0 <= t1;
  80.             LED1 <= t2;
  81.             LED2 <= t3;
  82.             LED3 <= t4;
  83.             LED4 <= t5;
  84.             LED5 <= m1;
  85.             LED6 <= m0;
  86.             LED7 <= mode;
  87.  
  88.  
  89.  
  90.  
  91. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement