Advertisement
Guest User

somador 2 bits

a guest
Jul 16th, 2018
71
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.57 KB | None | 0 0
  1. -- Somador 2 bits
  2. -- Portas Entradas in_a0, in_a1, in_b0, in_b1 (tipo bit) / Saídas out_0, out_1, cout (tipo bit)
  3. -- Autores: João Vitor e Marcos Meira
  4.  
  5. entity sum_2bits is
  6.     port (in_a0, in_a1, in_b0, in_b1: in bit;
  7.           out_0, out_1, cout: out bit);
  8. end sum_2bits;
  9.  
  10. architecture dataflow of sum_2bits is
  11.     signal sinal0, sinal1, sinal2, sinal3: bit;
  12. begin
  13. out_0 <= in_a0 xor in_b0;
  14. sinal0 <= in_a0 and in_b0;
  15. sinal1 <= in_a1 xor in_b1;
  16. out_1 <= sinal0 xor sinal1;
  17. sinal2 <= sinal0 and sinal1;
  18. sinal3 <= in_a1 and in_b1;
  19. cout <= sinal2 or sinal3;
  20.  
  21. end dataflow;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement