Advertisement
voik3

Untitled

Jun 26th, 2019
245
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.37 KB | None | 0 0
  1.  
  2. library IEEE;
  3. use IEEE.STD_LOGIC_1164.ALL;
  4. use IEEE.STD_LOGIC_ARITH.ALL;
  5. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  6.  
  7.  
  8.  
  9. entity litery1 is
  10.     Port ( p : out  STD_LOGIC_VECTOR (3 downto 0);
  11.            r : out  STD_LOGIC_VECTOR (3 downto 0);
  12.            o : out  STD_LOGIC_VECTOR (3 downto 0);
  13.            s : out  STD_LOGIC_VECTOR (3 downto 0);
  14.            t : out  STD_LOGIC_VECTOR (3 downto 0);
  15.            e1 : out  STD_LOGIC_VECTOR (3 downto 0);
  16.            spacja0 : out  STD_LOGIC_VECTOR (3 downto 0);
  17.            z : out  STD_LOGIC_VECTOR (3 downto 0);
  18.            a1 : out  STD_LOGIC_VECTOR (3 downto 0);
  19.            d : out  STD_LOGIC_VECTOR (3 downto 0);
  20.            a2 : out  STD_LOGIC_VECTOR (3 downto 0);
  21.            n : out  STD_LOGIC_VECTOR (3 downto 0);
  22.            i : out  STD_LOGIC_VECTOR (3 downto 0);
  23.            e2 : out  STD_LOGIC_VECTOR (3 downto 0);
  24.            spacja1 : out  STD_LOGIC_VECTOR (3 downto 0);
  25.            spacja2 : out  STD_LOGIC_VECTOR (3 downto 0));
  26. end litery1;
  27.  
  28. architecture Behavioral of litery1 is
  29.  
  30. begin
  31.            p <= "0000";
  32.             r <=  "0001";
  33.             o <=  "0010";
  34.             s <=  "0011";
  35.             t <=  "0100";
  36.             e1 <=  "0101";
  37.             spacja0 <=  "0110";
  38.             z <=  "0111";
  39.             a1 <=  "1000";
  40.             d <=  "1001";
  41.             a2 <=  "1010";
  42.             n <=  "1011";
  43.             i <=  "1100";
  44.             e2 <=  "1101";
  45.             spacja1 <=  "1110";
  46.             spacja2 <=  "1111";
  47.  
  48. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement