Advertisement
Sidsh

sequencer.sv

Oct 29th, 2022
1,031
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. class our_sequencer extends uvm_sequencer #(our_packet);
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement