Advertisement
Guest User

Untitled

a guest
Nov 7th, 2018
265
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.89 KB | None | 0 0
  1. set_property PACKAGE_PIN V17 [get_ports {x1[0]}]
  2. set_property IOSTANDARD LVCMOS33 [get_ports {x1[0]}]
  3. set_property PACKAGE_PIN V16 [get_ports {x1[1]}]
  4. set_property IOSTANDARD LVCMOS33 [get_ports {x1[1]}]
  5. set_property PACKAGE_PIN W16 [get_ports {x1[2]}]
  6. set_property IOSTANDARD LVCMOS33 [get_ports {x1[2]}]
  7. set_property PACKAGE_PIN W17 [get_ports {x2[0]}]
  8. set_property IOSTANDARD LVCMOS33 [get_ports {x2[0]}]
  9. set_property PACKAGE_PIN W15 [get_ports {x2[1]}]
  10. set_property IOSTANDARD LVCMOS33 [get_ports {x2[1]}]
  11. set_property PACKAGE_PIN V15 [get_ports {x2[2]}]
  12. set_property IOSTANDARD LVCMOS33 [get_ports {x2[2]}]
  13. set_property PACKAGE_PIN U16 [get_ports {y[0]}]
  14. set_property IOSTANDARD LVCMOS33 [get_ports {y[0]}]
  15. set_property PACKAGE_PIN E19 [get_ports {y[1]}]
  16. set_property IOSTANDARD LVCMOS33 [get_ports {y[1]}]
  17. set_property PACKAGE_PIN U19 [get_ports {y[2]}]
  18. set_property IOSTANDARD LVCMOS33 [get_ports {y[2]}]
  19. set_property PACKAGE_PIN V19 [get_ports {y[3]}]
  20. set_property IOSTANDARD LVCMOS33 [get_ports {y[3]}]
  21. set_property PACKAGE_PIN W18 [get_ports {y[4]}]
  22. set_property IOSTANDARD LVCMOS33 [get_ports {y[4]}]
  23. set_property PACKAGE_PIN U15 [get_ports {y[5]}]
  24. set_property IOSTANDARD LVCMOS33 [get_ports {y[5]}]
  25. set_property PACKAGE_PIN U14 [get_ports {y[6]}]
  26. set_property IOSTANDARD LVCMOS33 [get_ports {y[6]}]
  27. set_property PACKAGE_PIN V14 [get_ports {y[7]}]
  28. set_property IOSTANDARD LVCMOS33 [get_ports {y[7]}]
  29. set_property PACKAGE_PIN U17 [get_ports srst]
  30. set_property IOSTANDARD LVCMOS33 [get_ports srst]
  31. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets stst_IBUF]
  32. set_property PACKAGE_PIN U18 [get_ports btn]
  33. set_property IOSTANDARD LVCMOS33 [get_ports btn]
  34. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets btn_IBUF]
  35. set_property PACKAGE_PIN W5 [get_ports clk]
  36. set_property IOSTANDARD LVCMOS33 [get_ports clk]
  37. create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement