Advertisement
YellowAfterlife

Don't use FOR, they said. Do something else, they said.

May 21st, 2013
195
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 5.64 KB | None | 0 0
  1. S(0) <= "00000000";
  2. S(1) <= "00000001";
  3. S(2) <= "00000010";
  4. S(3) <= "00000011";
  5. S(4) <= "00000100";
  6. S(5) <= "00000101";
  7. S(6) <= "00000110";
  8. S(7) <= "00000111";
  9. S(8) <= "00001000";
  10. S(9) <= "00001001";
  11. S(10) <= "00001010";
  12. S(11) <= "00001011";
  13. S(12) <= "00001100";
  14. S(13) <= "00001101";
  15. S(14) <= "00001110";
  16. S(15) <= "00001111";
  17. S(16) <= "00010000";
  18. S(17) <= "00010001";
  19. S(18) <= "00010010";
  20. S(19) <= "00010011";
  21. S(20) <= "00010100";
  22. S(21) <= "00010101";
  23. S(22) <= "00010110";
  24. S(23) <= "00010111";
  25. S(24) <= "00011000";
  26. S(25) <= "00011001";
  27. S(26) <= "00011010";
  28. S(27) <= "00011011";
  29. S(28) <= "00011100";
  30. S(29) <= "00011101";
  31. S(30) <= "00011110";
  32. S(31) <= "00011111";
  33. S(32) <= "00100000";
  34. S(33) <= "00100001";
  35. S(34) <= "00100010";
  36. S(35) <= "00100011";
  37. S(36) <= "00100100";
  38. S(37) <= "00100101";
  39. S(38) <= "00100110";
  40. S(39) <= "00100111";
  41. S(40) <= "00101000";
  42. S(41) <= "00101001";
  43. S(42) <= "00101010";
  44. S(43) <= "00101011";
  45. S(44) <= "00101100";
  46. S(45) <= "00101101";
  47. S(46) <= "00101110";
  48. S(47) <= "00101111";
  49. S(48) <= "00110000";
  50. S(49) <= "00110001";
  51. S(50) <= "00110010";
  52. S(51) <= "00110011";
  53. S(52) <= "00110100";
  54. S(53) <= "00110101";
  55. S(54) <= "00110110";
  56. S(55) <= "00110111";
  57. S(56) <= "00111000";
  58. S(57) <= "00111001";
  59. S(58) <= "00111010";
  60. S(59) <= "00111011";
  61. S(60) <= "00111100";
  62. S(61) <= "00111101";
  63. S(62) <= "00111110";
  64. S(63) <= "00111111";
  65. S(64) <= "01000000";
  66. S(65) <= "01000001";
  67. S(66) <= "01000010";
  68. S(67) <= "01000011";
  69. S(68) <= "01000100";
  70. S(69) <= "01000101";
  71. S(70) <= "01000110";
  72. S(71) <= "01000111";
  73. S(72) <= "01001000";
  74. S(73) <= "01001001";
  75. S(74) <= "01001010";
  76. S(75) <= "01001011";
  77. S(76) <= "01001100";
  78. S(77) <= "01001101";
  79. S(78) <= "01001110";
  80. S(79) <= "01001111";
  81. S(80) <= "01010000";
  82. S(81) <= "01010001";
  83. S(82) <= "01010010";
  84. S(83) <= "01010011";
  85. S(84) <= "01010100";
  86. S(85) <= "01010101";
  87. S(86) <= "01010110";
  88. S(87) <= "01010111";
  89. S(88) <= "01011000";
  90. S(89) <= "01011001";
  91. S(90) <= "01011010";
  92. S(91) <= "01011011";
  93. S(92) <= "01011100";
  94. S(93) <= "01011101";
  95. S(94) <= "01011110";
  96. S(95) <= "01011111";
  97. S(96) <= "01100000";
  98. S(97) <= "01100001";
  99. S(98) <= "01100010";
  100. S(99) <= "01100011";
  101. S(100) <= "01100100";
  102. S(101) <= "01100101";
  103. S(102) <= "01100110";
  104. S(103) <= "01100111";
  105. S(104) <= "01101000";
  106. S(105) <= "01101001";
  107. S(106) <= "01101010";
  108. S(107) <= "01101011";
  109. S(108) <= "01101100";
  110. S(109) <= "01101101";
  111. S(110) <= "01101110";
  112. S(111) <= "01101111";
  113. S(112) <= "01110000";
  114. S(113) <= "01110001";
  115. S(114) <= "01110010";
  116. S(115) <= "01110011";
  117. S(116) <= "01110100";
  118. S(117) <= "01110101";
  119. S(118) <= "01110110";
  120. S(119) <= "01110111";
  121. S(120) <= "01111000";
  122. S(121) <= "01111001";
  123. S(122) <= "01111010";
  124. S(123) <= "01111011";
  125. S(124) <= "01111100";
  126. S(125) <= "01111101";
  127. S(126) <= "01111110";
  128. S(127) <= "01111111";
  129. S(128) <= "10000000";
  130. S(129) <= "10000001";
  131. S(130) <= "10000010";
  132. S(131) <= "10000011";
  133. S(132) <= "10000100";
  134. S(133) <= "10000101";
  135. S(134) <= "10000110";
  136. S(135) <= "10000111";
  137. S(136) <= "10001000";
  138. S(137) <= "10001001";
  139. S(138) <= "10001010";
  140. S(139) <= "10001011";
  141. S(140) <= "10001100";
  142. S(141) <= "10001101";
  143. S(142) <= "10001110";
  144. S(143) <= "10001111";
  145. S(144) <= "10010000";
  146. S(145) <= "10010001";
  147. S(146) <= "10010010";
  148. S(147) <= "10010011";
  149. S(148) <= "10010100";
  150. S(149) <= "10010101";
  151. S(150) <= "10010110";
  152. S(151) <= "10010111";
  153. S(152) <= "10011000";
  154. S(153) <= "10011001";
  155. S(154) <= "10011010";
  156. S(155) <= "10011011";
  157. S(156) <= "10011100";
  158. S(157) <= "10011101";
  159. S(158) <= "10011110";
  160. S(159) <= "10011111";
  161. S(160) <= "10100000";
  162. S(161) <= "10100001";
  163. S(162) <= "10100010";
  164. S(163) <= "10100011";
  165. S(164) <= "10100100";
  166. S(165) <= "10100101";
  167. S(166) <= "10100110";
  168. S(167) <= "10100111";
  169. S(168) <= "10101000";
  170. S(169) <= "10101001";
  171. S(170) <= "10101010";
  172. S(171) <= "10101011";
  173. S(172) <= "10101100";
  174. S(173) <= "10101101";
  175. S(174) <= "10101110";
  176. S(175) <= "10101111";
  177. S(176) <= "10110000";
  178. S(177) <= "10110001";
  179. S(178) <= "10110010";
  180. S(179) <= "10110011";
  181. S(180) <= "10110100";
  182. S(181) <= "10110101";
  183. S(182) <= "10110110";
  184. S(183) <= "10110111";
  185. S(184) <= "10111000";
  186. S(185) <= "10111001";
  187. S(186) <= "10111010";
  188. S(187) <= "10111011";
  189. S(188) <= "10111100";
  190. S(189) <= "10111101";
  191. S(190) <= "10111110";
  192. S(191) <= "10111111";
  193. S(192) <= "11000000";
  194. S(193) <= "11000001";
  195. S(194) <= "11000010";
  196. S(195) <= "11000011";
  197. S(196) <= "11000100";
  198. S(197) <= "11000101";
  199. S(198) <= "11000110";
  200. S(199) <= "11000111";
  201. S(200) <= "11001000";
  202. S(201) <= "11001001";
  203. S(202) <= "11001010";
  204. S(203) <= "11001011";
  205. S(204) <= "11001100";
  206. S(205) <= "11001101";
  207. S(206) <= "11001110";
  208. S(207) <= "11001111";
  209. S(208) <= "11010000";
  210. S(209) <= "11010001";
  211. S(210) <= "11010010";
  212. S(211) <= "11010011";
  213. S(212) <= "11010100";
  214. S(213) <= "11010101";
  215. S(214) <= "11010110";
  216. S(215) <= "11010111";
  217. S(216) <= "11011000";
  218. S(217) <= "11011001";
  219. S(218) <= "11011010";
  220. S(219) <= "11011011";
  221. S(220) <= "11011100";
  222. S(221) <= "11011101";
  223. S(222) <= "11011110";
  224. S(223) <= "11011111";
  225. S(224) <= "11100000";
  226. S(225) <= "11100001";
  227. S(226) <= "11100010";
  228. S(227) <= "11100011";
  229. S(228) <= "11100100";
  230. S(229) <= "11100101";
  231. S(230) <= "11100110";
  232. S(231) <= "11100111";
  233. S(232) <= "11101000";
  234. S(233) <= "11101001";
  235. S(234) <= "11101010";
  236. S(235) <= "11101011";
  237. S(236) <= "11101100";
  238. S(237) <= "11101101";
  239. S(238) <= "11101110";
  240. S(239) <= "11101111";
  241. S(240) <= "11110000";
  242. S(241) <= "11110001";
  243. S(242) <= "11110010";
  244. S(243) <= "11110011";
  245. S(244) <= "11110100";
  246. S(245) <= "11110101";
  247. S(246) <= "11110110";
  248. S(247) <= "11110111";
  249. S(248) <= "11111000";
  250. S(249) <= "11111001";
  251. S(250) <= "11111010";
  252. S(251) <= "11111011";
  253. S(252) <= "11111100";
  254. S(253) <= "11111101";
  255. S(254) <= "11111110";
  256. S(255) <= "11111111";
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement