Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.all;
- use ieee.numeric_std.all;
- library work;
- use work.type_package.all;
- entity tiptop_module is
- generic (
- g_CLKS_PER_BIT : integer;
- max_experiment_repetition_counter_value : integer;
- max_step_counter_value : integer;
- max_initialization_repetition_counter_value : integer;
- max_photon_counter_value : integer;
- max_photon_counter_window_pulse_value : integer
- );
- port (
- rst : in std_logic;
- clk : in std_logic;
- rx_serial : in std_logic;
- trigger_from_awg : in std_logic;
- trigger_from_apd : in std_logic;
- flag_start_experiment : out std_logic;
- flag_hard_limit : out std_logic;
- flag_nv_minus : out std_logic;
- trigger_to_awg : out std_logic;
- pulse_laser_1 : out std_logic;
- pulse_laser_2 : out std_logic;
- pulse_laser_3 : out std_logic;
- pulse_laser_4 : out std_logic;
- pulse_htm_dac_1 : out std_logic;
- pulse_htm_dac_2 : out std_logic;
- pulse_ni_gate : out std_logic
- );
- end tiptop_module;
- architecture behaviour of tiptop_module is
- component uart_interface
- generic (
- g_CLKS_PER_BIT : integer -- fpga clock freq / baudrate = 133E6/115200 ~= 1155
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- rx_serial : in std_logic;
- ready : out std_logic;
- byte0 : out std_logic_vector(7 downto 0);
- byte1 : out std_logic_vector(7 downto 0);
- byte2 : out std_logic_vector(7 downto 0);
- byte3 : out std_logic_vector(7 downto 0);
- byte4 : out std_logic_vector(7 downto 0);
- byte5 : out std_logic_vector(7 downto 0)
- );
- end component;
- component uart_interpreter
- generic (
- max_experiment_repetition_counter_value : integer;
- max_step_counter_value : integer;
- max_initialization_repetition_counter_value : integer;
- max_photon_counter_value : integer;
- max_photon_counter_window_pulse_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- ready : in std_logic;
- byte0 : in std_logic_vector(7 downto 0);
- byte1 : in std_logic_vector(7 downto 0);
- byte2 : in std_logic_vector(7 downto 0);
- byte3 : in std_logic_vector(7 downto 0);
- byte4 : in std_logic_vector(7 downto 0);
- byte5 : in std_logic_vector(7 downto 0);
- experiment_repetition_counter_threshold : out integer range 0 to max_experiment_repetition_counter_value;
- initialization_repetition_counter_threshold : out integer range 0 to max_initialization_repetition_counter_value;
- step_counter_threshold_initialization : out integer range 0 to max_step_counter_value;
- step_counter_threshold_measurement : out integer range 0 to max_step_counter_value;
- photon_counter_threshold_initialization : out integer range 0 to max_photon_counter_value;
- photon_counter_threshold_measurement : out integer range 0 to max_photon_counter_value;
- photon_counter_window_offset_initialization : out integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_initialization : out integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_offset_measurement : out integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_measurement : out integer range 0 to max_photon_counter_window_pulse_value;
- laser_1_offset1_initialization : out integer range 0 to max_step_counter_value;
- laser_1_length1_initialization : out integer range 0 to max_step_counter_value;
- laser_1_offset2_initialization : out integer range 0 to max_step_counter_value;
- laser_1_length2_initialization : out integer range 0 to max_step_counter_value;
- laser_1_offset1_measurement : out integer range 0 to max_step_counter_value;
- laser_1_length1_measurement : out integer range 0 to max_step_counter_value;
- laser_1_offset2_measurement : out integer range 0 to max_step_counter_value;
- laser_1_length2_measurement : out integer range 0 to max_step_counter_value;
- laser_2_offset1_initialization : out integer range 0 to max_step_counter_value;
- laser_2_length1_initialization : out integer range 0 to max_step_counter_value;
- laser_2_offset2_initialization : out integer range 0 to max_step_counter_value;
- laser_2_length2_initialization : out integer range 0 to max_step_counter_value;
- laser_2_offset1_measurement : out integer range 0 to max_step_counter_value;
- laser_2_length1_measurement : out integer range 0 to max_step_counter_value;
- laser_2_offset2_measurement : out integer range 0 to max_step_counter_value;
- laser_2_length2_measurement : out integer range 0 to max_step_counter_value;
- laser_3_offset1_initialization : out integer range 0 to max_step_counter_value;
- laser_3_length1_initialization : out integer range 0 to max_step_counter_value;
- laser_3_offset2_initialization : out integer range 0 to max_step_counter_value;
- laser_3_length2_initialization : out integer range 0 to max_step_counter_value;
- laser_3_offset1_measurement : out integer range 0 to max_step_counter_value;
- laser_3_length1_measurement : out integer range 0 to max_step_counter_value;
- laser_3_offset2_measurement : out integer range 0 to max_step_counter_value;
- laser_3_length2_measurement : out integer range 0 to max_step_counter_value;
- laser_4_offset1_initialization : out integer range 0 to max_step_counter_value;
- laser_4_length1_initialization : out integer range 0 to max_step_counter_value;
- laser_4_offset2_initialization : out integer range 0 to max_step_counter_value;
- laser_4_length2_initialization : out integer range 0 to max_step_counter_value;
- laser_4_offset1_measurement : out integer range 0 to max_step_counter_value;
- laser_4_length1_measurement : out integer range 0 to max_step_counter_value;
- laser_4_offset2_measurement : out integer range 0 to max_step_counter_value;
- laser_4_length2_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_1_length1_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_1_length2_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_1_length1_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_1_length2_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_2_length1_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_2_length2_initialization : out integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_2_length1_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_measurement : out integer range 0 to max_step_counter_value;
- htm_dac_2_length2_measurement : out integer range 0 to max_step_counter_value;
- ni_gate_offset1_initialization : out integer range 0 to max_step_counter_value;
- ni_gate_length1_initialization : out integer range 0 to max_step_counter_value;
- ni_gate_offset2_initialization : out integer range 0 to max_step_counter_value;
- ni_gate_length2_initialization : out integer range 0 to max_step_counter_value;
- ni_gate_offset1_measurement : out integer range 0 to max_step_counter_value;
- ni_gate_length1_measurement : out integer range 0 to max_step_counter_value;
- ni_gate_offset2_measurement : out integer range 0 to max_step_counter_value;
- ni_gate_length2_measurement : out integer range 0 to max_step_counter_value;
- start : out std_logic;
- measurement_mode : out t_measurement
- );
- end component;
- component experiment_module
- generic (
- max_experiment_repetition_counter_value : integer;
- max_step_counter_value : integer;
- max_initialization_repetition_counter_value : integer;
- max_photon_counter_value : integer;
- max_photon_counter_window_pulse_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- experiment_repetition_counter_threshold : in integer range 0 to max_experiment_repetition_counter_value;
- initialization_repetition_counter_threshold : in integer range 0 to max_initialization_repetition_counter_value;
- step_counter_threshold_initialization : in integer range 0 to max_step_counter_value;
- step_counter_threshold_measurement : in integer range 0 to max_step_counter_value;
- photon_counter_threshold_initialization : in integer range 0 to max_photon_counter_value;
- photon_counter_threshold_measurement : in integer range 0 to max_photon_counter_value;
- photon_counter_window_offset_initialization : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_initialization : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_offset_measurement : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_measurement : in integer range 0 to max_photon_counter_window_pulse_value;
- laser_1_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_1_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_1_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_1_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_1_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_1_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_1_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_1_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_2_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_2_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_2_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_2_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_2_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_2_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_2_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_2_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_3_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_3_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_3_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_3_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_3_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_3_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_3_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_3_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_4_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_4_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_4_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_4_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_4_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_4_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_4_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_4_length2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_length1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_length2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_length1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_length2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_length1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_length2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_length1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_length2_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_offset1_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_length1_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_offset2_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_length2_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_offset1_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_length1_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_offset2_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_length2_measurement : in integer range 0 to max_step_counter_value;
- start : in std_logic;
- measurement_mode : in t_measurement;
- trigger_from_awg : in std_logic;
- trigger_from_apd : in std_logic;
- flag_start_experiment : out std_logic;
- flag_hard_limit : out std_logic;
- flag_nv_minus : out std_logic;
- trigger_to_awg : out std_logic;
- pulse_laser_1 : out std_logic;
- pulse_laser_2 : out std_logic;
- pulse_laser_3 : out std_logic;
- pulse_laser_4 : out std_logic;
- pulse_htm_dac_1 : out std_logic;
- pulse_htm_dac_2 : out std_logic;
- pulse_ni_gate : out std_logic
- );
- end component;
- signal ready : std_logic;
- signal byte0, byte1,
- byte2, byte3,
- byte4, byte5 : std_logic_vector(7 downto 0);
- signal experiment_repetition_counter_threshold : integer range 0 to max_experiment_repetition_counter_value;
- signal initialization_repetition_counter_threshold : integer range 0 to max_initialization_repetition_counter_value;
- signal step_counter_threshold_initialization, step_counter_threshold_measurement : integer range 0 to max_step_counter_value;
- signal photon_counter_threshold_initialization, photon_counter_threshold_measurement : integer range 0 to max_photon_counter_value;
- signal photon_counter_window_offset_initialization, photon_counter_window_length_initialization,
- photon_counter_window_offset_measurement, photon_counter_window_length_measurement : integer range 0 to max_photon_counter_window_pulse_value;
- signal laser_1_offset1_initialization, laser_1_length1_initialization,
- laser_1_offset2_initialization, laser_1_length2_initialization,
- laser_1_offset1_measurement, laser_1_length1_measurement,
- laser_1_offset2_measurement, laser_1_length2_measurement,
- laser_2_offset1_initialization, laser_2_length1_initialization,
- laser_2_offset2_initialization, laser_2_length2_initialization,
- laser_2_offset1_measurement, laser_2_length1_measurement,
- laser_2_offset2_measurement, laser_2_length2_measurement,
- laser_3_offset1_initialization, laser_3_length1_initialization,
- laser_3_offset2_initialization, laser_3_length2_initialization,
- laser_3_offset1_measurement, laser_3_length1_measurement,
- laser_3_offset2_measurement, laser_3_length2_measurement,
- laser_4_offset1_initialization, laser_4_length1_initialization,
- laser_4_offset2_initialization, laser_4_length2_initialization,
- laser_4_offset1_measurement, laser_4_length1_measurement,
- laser_4_offset2_measurement, laser_4_length2_measurement,
- htm_dac_1_offset1_initialization, htm_dac_1_length1_initialization,
- htm_dac_1_offset2_initialization, htm_dac_1_length2_initialization,
- htm_dac_1_offset1_measurement, htm_dac_1_length1_measurement,
- htm_dac_1_offset2_measurement, htm_dac_1_length2_measurement,
- htm_dac_2_offset1_initialization, htm_dac_2_length1_initialization,
- htm_dac_2_offset2_initialization, htm_dac_2_length2_initialization,
- htm_dac_2_offset1_measurement, htm_dac_2_length1_measurement,
- htm_dac_2_offset2_measurement, htm_dac_2_length2_measurement,
- ni_gate_offset1_initialization, ni_gate_length1_initialization,
- ni_gate_offset2_initialization, ni_gate_length2_initialization,
- ni_gate_offset1_measurement, ni_gate_length1_measurement,
- ni_gate_offset2_measurement, ni_gate_length2_measurement : integer range 0 to max_step_counter_value;
- signal start : std_logic;
- signal measurement_mode : t_measurement;
- signal raw_r_trigger_from_awg, r_trigger_from_awg,
- raw_r_trigger_from_apd, r_trigger_from_apd : std_logic;
- begin
- p_SAMPLE : process (rst, clk)
- begin
- if rst = '1' then
- raw_r_trigger_from_awg <= '0';
- r_trigger_from_awg <= '0';
- raw_r_trigger_from_apd <= '0';
- r_trigger_from_apd <= '0';
- elsif rising_edge(clk) then
- raw_r_trigger_from_awg <= trigger_from_awg;
- r_trigger_from_awg <= raw_r_trigger_from_awg;
- raw_r_trigger_from_apd <= trigger_from_apd;
- r_trigger_from_apd <= raw_r_trigger_from_apd;
- end if;
- end process p_SAMPLE;
- inst_uart_interface : uart_interface
- generic map(
- g_CLKS_PER_BIT => g_CLKS_PER_BIT
- )
- port map (
- rst => rst,
- clk => clk,
- rx_serial => rx_serial,
- ready => ready,
- byte0 => byte0,
- byte1 => byte1,
- byte2 => byte2,
- byte3 => byte3,
- byte4 => byte4,
- byte5 => byte5
- );
- inst_uart_interpreter : uart_interpreter
- generic map(
- max_experiment_repetition_counter_value => max_experiment_repetition_counter_value,
- max_step_counter_value => max_step_counter_value,
- max_initialization_repetition_counter_value => max_initialization_repetition_counter_value,
- max_photon_counter_value => max_photon_counter_value,
- max_photon_counter_window_pulse_value => max_photon_counter_window_pulse_value
- )
- port map (
- rst => rst,
- clk => clk,
- ready => ready,
- byte0 => byte0,
- byte1 => byte1,
- byte2 => byte2,
- byte3 => byte3,
- byte4 => byte4,
- byte5 => byte5,
- experiment_repetition_counter_threshold => experiment_repetition_counter_threshold,
- initialization_repetition_counter_threshold => initialization_repetition_counter_threshold,
- step_counter_threshold_initialization => step_counter_threshold_initialization,
- step_counter_threshold_measurement => step_counter_threshold_measurement,
- photon_counter_threshold_initialization => photon_counter_threshold_initialization,
- photon_counter_threshold_measurement => photon_counter_threshold_measurement,
- photon_counter_window_offset_initialization => photon_counter_window_offset_initialization,
- photon_counter_window_length_initialization => photon_counter_window_length_initialization,
- photon_counter_window_offset_measurement => photon_counter_window_offset_measurement,
- photon_counter_window_length_measurement => photon_counter_window_length_measurement,
- laser_1_offset1_initialization => laser_1_offset1_initialization,
- laser_1_length1_initialization => laser_1_length1_initialization,
- laser_1_offset2_initialization => laser_1_offset2_initialization,
- laser_1_length2_initialization => laser_1_length2_initialization,
- laser_1_offset1_measurement => laser_1_offset1_measurement,
- laser_1_length1_measurement => laser_1_length1_measurement,
- laser_1_offset2_measurement => laser_1_offset2_measurement,
- laser_1_length2_measurement => laser_1_length2_measurement,
- laser_2_offset1_initialization => laser_2_offset1_initialization,
- laser_2_length1_initialization => laser_2_length1_initialization,
- laser_2_offset2_initialization => laser_2_offset2_initialization,
- laser_2_length2_initialization => laser_2_length2_initialization,
- laser_2_offset1_measurement => laser_2_offset1_measurement,
- laser_2_length1_measurement => laser_2_length1_measurement,
- laser_2_offset2_measurement => laser_2_offset2_measurement,
- laser_2_length2_measurement => laser_2_length2_measurement,
- laser_3_offset1_initialization => laser_3_offset1_initialization,
- laser_3_length1_initialization => laser_3_length1_initialization,
- laser_3_offset2_initialization => laser_3_offset2_initialization,
- laser_3_length2_initialization => laser_3_length2_initialization,
- laser_3_offset1_measurement => laser_3_offset1_measurement,
- laser_3_length1_measurement => laser_3_length1_measurement,
- laser_3_offset2_measurement => laser_3_offset2_measurement,
- laser_3_length2_measurement => laser_3_length2_measurement,
- laser_4_offset1_initialization => laser_4_offset1_initialization,
- laser_4_length1_initialization => laser_4_length1_initialization,
- laser_4_offset2_initialization => laser_4_offset2_initialization,
- laser_4_length2_initialization => laser_4_length2_initialization,
- laser_4_offset1_measurement => laser_4_offset1_measurement,
- laser_4_length1_measurement => laser_4_length1_measurement,
- laser_4_offset2_measurement => laser_4_offset2_measurement,
- laser_4_length2_measurement => laser_4_length2_measurement,
- htm_dac_1_offset1_initialization => htm_dac_1_offset1_initialization,
- htm_dac_1_length1_initialization => htm_dac_1_length1_initialization,
- htm_dac_1_offset2_initialization => htm_dac_1_offset2_initialization,
- htm_dac_1_length2_initialization => htm_dac_1_length2_initialization,
- htm_dac_1_offset1_measurement => htm_dac_1_offset1_measurement,
- htm_dac_1_length1_measurement => htm_dac_1_length1_measurement,
- htm_dac_1_offset2_measurement => htm_dac_1_offset2_measurement,
- htm_dac_1_length2_measurement => htm_dac_1_length2_measurement,
- htm_dac_2_offset1_initialization => htm_dac_2_offset1_initialization,
- htm_dac_2_length1_initialization => htm_dac_2_length1_initialization,
- htm_dac_2_offset2_initialization => htm_dac_2_offset2_initialization,
- htm_dac_2_length2_initialization => htm_dac_2_length2_initialization,
- htm_dac_2_offset1_measurement => htm_dac_2_offset1_measurement,
- htm_dac_2_length1_measurement => htm_dac_2_length1_measurement,
- htm_dac_2_offset2_measurement => htm_dac_2_offset2_measurement,
- htm_dac_2_length2_measurement => htm_dac_2_length2_measurement,
- ni_gate_offset1_initialization => ni_gate_offset1_initialization,
- ni_gate_length1_initialization => ni_gate_length1_initialization,
- ni_gate_offset2_initialization => ni_gate_offset2_initialization,
- ni_gate_length2_initialization => ni_gate_length2_initialization,
- ni_gate_offset1_measurement => ni_gate_offset1_measurement,
- ni_gate_length1_measurement => ni_gate_length1_measurement,
- ni_gate_offset2_measurement => ni_gate_offset2_measurement,
- ni_gate_length2_measurement => ni_gate_length2_measurement,
- start => start,
- measurement_mode => measurement_mode
- );
- inst_experiment_module : experiment_module
- generic map (
- max_experiment_repetition_counter_value => max_experiment_repetition_counter_value,
- max_step_counter_value => max_step_counter_value,
- max_initialization_repetition_counter_value => max_initialization_repetition_counter_value,
- max_photon_counter_value => max_photon_counter_value,
- max_photon_counter_window_pulse_value => max_photon_counter_window_pulse_value
- )
- port map (
- rst => rst,
- clk => clk,
- experiment_repetition_counter_threshold => experiment_repetition_counter_threshold,
- initialization_repetition_counter_threshold => initialization_repetition_counter_threshold,
- step_counter_threshold_initialization => step_counter_threshold_initialization,
- step_counter_threshold_measurement => step_counter_threshold_measurement,
- photon_counter_threshold_initialization => photon_counter_threshold_initialization,
- photon_counter_threshold_measurement => photon_counter_threshold_measurement,
- photon_counter_window_offset_initialization => photon_counter_window_offset_initialization,
- photon_counter_window_length_initialization => photon_counter_window_length_initialization,
- photon_counter_window_offset_measurement => photon_counter_window_offset_measurement,
- photon_counter_window_length_measurement => photon_counter_window_length_measurement,
- laser_1_offset1_initialization => laser_1_offset1_initialization,
- laser_1_length1_initialization => laser_1_length1_initialization,
- laser_1_offset2_initialization => laser_1_offset2_initialization,
- laser_1_length2_initialization => laser_1_length2_initialization,
- laser_1_offset1_measurement => laser_1_offset1_measurement,
- laser_1_length1_measurement => laser_1_length1_measurement,
- laser_1_offset2_measurement => laser_1_offset2_measurement,
- laser_1_length2_measurement => laser_1_length2_measurement,
- laser_2_offset1_initialization => laser_2_offset1_initialization,
- laser_2_length1_initialization => laser_2_length1_initialization,
- laser_2_offset2_initialization => laser_2_offset2_initialization,
- laser_2_length2_initialization => laser_2_length2_initialization,
- laser_2_offset1_measurement => laser_2_offset1_measurement,
- laser_2_length1_measurement => laser_2_length1_measurement,
- laser_2_offset2_measurement => laser_2_offset2_measurement,
- laser_2_length2_measurement => laser_2_length2_measurement,
- laser_3_offset1_initialization => laser_3_offset1_initialization,
- laser_3_length1_initialization => laser_3_length1_initialization,
- laser_3_offset2_initialization => laser_3_offset2_initialization,
- laser_3_length2_initialization => laser_3_length2_initialization,
- laser_3_offset1_measurement => laser_3_offset1_measurement,
- laser_3_length1_measurement => laser_3_length1_measurement,
- laser_3_offset2_measurement => laser_3_offset2_measurement,
- laser_3_length2_measurement => laser_3_length2_measurement,
- laser_4_offset1_initialization => laser_4_offset1_initialization,
- laser_4_length1_initialization => laser_4_length1_initialization,
- laser_4_offset2_initialization => laser_4_offset2_initialization,
- laser_4_length2_initialization => laser_4_length2_initialization,
- laser_4_offset1_measurement => laser_4_offset1_measurement,
- laser_4_length1_measurement => laser_4_length1_measurement,
- laser_4_offset2_measurement => laser_4_offset2_measurement,
- laser_4_length2_measurement => laser_4_length2_measurement,
- htm_dac_1_offset1_initialization => htm_dac_1_offset1_initialization,
- htm_dac_1_length1_initialization => htm_dac_1_length1_initialization,
- htm_dac_1_offset2_initialization => htm_dac_1_offset2_initialization,
- htm_dac_1_length2_initialization => htm_dac_1_length2_initialization,
- htm_dac_1_offset1_measurement => htm_dac_1_offset1_measurement,
- htm_dac_1_length1_measurement => htm_dac_1_length1_measurement,
- htm_dac_1_offset2_measurement => htm_dac_1_offset2_measurement,
- htm_dac_1_length2_measurement => htm_dac_1_length2_measurement,
- htm_dac_2_offset1_initialization => htm_dac_2_offset1_initialization,
- htm_dac_2_length1_initialization => htm_dac_2_length1_initialization,
- htm_dac_2_offset2_initialization => htm_dac_2_offset2_initialization,
- htm_dac_2_length2_initialization => htm_dac_2_length2_initialization,
- htm_dac_2_offset1_measurement => htm_dac_2_offset1_measurement,
- htm_dac_2_length1_measurement => htm_dac_2_length1_measurement,
- htm_dac_2_offset2_measurement => htm_dac_2_offset2_measurement,
- htm_dac_2_length2_measurement => htm_dac_2_length2_measurement,
- ni_gate_offset1_initialization => ni_gate_offset1_initialization,
- ni_gate_length1_initialization => ni_gate_length1_initialization,
- ni_gate_offset2_initialization => ni_gate_offset2_initialization,
- ni_gate_length2_initialization => ni_gate_length2_initialization,
- ni_gate_offset1_measurement => ni_gate_offset1_measurement,
- ni_gate_length1_measurement => ni_gate_length1_measurement,
- ni_gate_offset2_measurement => ni_gate_offset2_measurement,
- ni_gate_length2_measurement => ni_gate_length2_measurement,
- start => start,
- measurement_mode => measurement_mode,
- trigger_from_awg => r_trigger_from_awg,
- trigger_from_apd => r_trigger_from_apd,
- flag_start_experiment => flag_start_experiment,
- flag_hard_limit => flag_hard_limit,
- flag_nv_minus => flag_nv_minus,
- trigger_to_awg => trigger_to_awg,
- pulse_laser_1 => pulse_laser_1,
- pulse_laser_2 => pulse_laser_2,
- pulse_laser_3 => pulse_laser_3,
- pulse_laser_4 => pulse_laser_4,
- pulse_htm_dac_1 => pulse_htm_dac_1,
- pulse_htm_dac_2 => pulse_htm_dac_2,
- pulse_ni_gate => pulse_ni_gate
- );
- end behaviour;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement