Advertisement
AdrianMadajewski

Untitled

Dec 22nd, 2020
1,725
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.38 KB | None | 0 0
  1. LIBRARY IEEE;
  2.  
  3. USE ieee.std_logic_1164.ALL;
  4.  
  5. -- JEDNOSTKA SUMATORA JEDNOBITOWEGO PELNEGO
  6. -- FA = FULL ADDER
  7. ENTITY FA IS
  8. PORT
  9. (
  10.     a, b, cin : in std_logic;
  11.     s, cout     : out std_logic
  12. );
  13. END FA;
  14.  
  15. -- ARCHITEKTURA SUMATORA JEDNOBITOWEGO PELNEGO
  16. ARCHITECTURE strukturalna OF FA IS
  17. BEGIN
  18.     s <= (a XOR b) XOR cin;
  19.     cout <= ((a XOR b) AND cin) or (a AND b);
  20. END ARCHITECTURE;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement