Advertisement
tjorim

Untitled

Jun 8th, 2016
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 12.83 KB | None | 0 0
  1. ## This file is a general .xdc for the ZYBO Rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used signals according to the project
  5.  
  6. #Clock signal
  7. #IO_L11P_T1_SRCC_35
  8. set_property PACKAGE_PIN L16 [get_ports clk]
  9. set_property IOSTANDARD LVCMOS33 [get_ports clk]
  10. create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports clk]
  11.  
  12. #Switches
  13. #IO_L19N_T3_VREF_35
  14. set_property PACKAGE_PIN G15 [get_ports {SN1}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {SN1}]
  16.  
  17. #IO_L24P_T3_34
  18. set_property PACKAGE_PIN P15 [get_ports {SN2}]
  19. set_property IOSTANDARD LVCMOS33 [get_ports {SN2}]
  20.  
  21. #IO_L4N_T0_34
  22. set_property PACKAGE_PIN W13 [get_ports {dir}]
  23. set_property IOSTANDARD LVCMOS33 [get_ports {dir}]
  24.  
  25. #IO_L9P_T1_DQS_34
  26. set_property PACKAGE_PIN T16 [get_ports {IO}]
  27. set_property IOSTANDARD LVCMOS33 [get_ports {IO}]
  28.  
  29. #Buttons
  30. #IO_L20N_T3_34
  31. set_property PACKAGE_PIN R18 [get_ports {RESET}]
  32. set_property IOSTANDARD LVCMOS33 [get_ports {RESET}]
  33.  
  34. ##IO_L24N_T3_34
  35. #set_property PACKAGE_PIN P16 [get_ports {btn[1]}]
  36. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[1]}]
  37.  
  38. ##IO_L18P_T2_34
  39. #set_property PACKAGE_PIN V16 [get_ports {btn[2]}]
  40. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[2]}]
  41.  
  42. ##IO_L7P_T1_34
  43. #set_property PACKAGE_PIN Y16 [get_ports {btn[3]}]
  44. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[3]}]
  45.  
  46. #LEDs
  47. #IO_L23P_T3_35
  48. set_property PACKAGE_PIN M14 [get_ports {STEP[0]}]
  49. set_property IOSTANDARD LVCMOS33 [get_ports {STEP[0]}]
  50.  
  51. #IO_L23N_T3_35
  52. set_property PACKAGE_PIN M15 [get_ports {STEP[1]}]
  53. set_property IOSTANDARD LVCMOS33 [get_ports {STEP[1]}]
  54.  
  55. #IO_0_35
  56. set_property PACKAGE_PIN G14 [get_ports {STEP[2]}]
  57. set_property IOSTANDARD LVCMOS33 [get_ports {STEP[2]}]
  58.  
  59. #IO_L3N_T0_DQS_AD1N_35
  60. set_property PACKAGE_PIN D18 [get_ports {STEP[3]}]
  61. set_property IOSTANDARD LVCMOS33 [get_ports {STEP[3]}]
  62.  
  63. ##I2S Audio Codec
  64. ##IO_L12N_T1_MRCC_35
  65. #set_property PACKAGE_PIN K18 [get_ports ac_bclk]
  66. #set_property IOSTANDARD LVCMOS33 [get_ports ac_bclk]
  67.  
  68. ##IO_25_34
  69. #set_property PACKAGE_PIN T19 [get_ports ac_mclk]
  70. #set_property IOSTANDARD LVCMOS33 [get_ports ac_mclk]
  71.  
  72. ##IO_L23N_T3_34
  73. #set_property PACKAGE_PIN P18 [get_ports ac_muten]
  74. #set_property IOSTANDARD LVCMOS33 [get_ports ac_muten]
  75.  
  76. ##IO_L8P_T1_AD10P_35
  77. #set_property PACKAGE_PIN M17 [get_ports ac_pbdat]
  78. #set_property IOSTANDARD LVCMOS33 [get_ports ac_pbdat]
  79.  
  80. ##IO_L11N_T1_SRCC_35
  81. #set_property PACKAGE_PIN L17 [get_ports ac_pblrc]
  82. #set_property IOSTANDARD LVCMOS33 [get_ports ac_pblrc]
  83.  
  84. ##IO_L12P_T1_MRCC_35
  85. #set_property PACKAGE_PIN K17 [get_ports ac_recdat]
  86. #set_property IOSTANDARD LVCMOS33 [get_ports ac_recdat]
  87.  
  88. ##IO_L8N_T1_AD10N_35
  89. #set_property PACKAGE_PIN M18 [get_ports ac_reclrc]
  90. #set_property IOSTANDARD LVCMOS33 [get_ports ac_reclrc]
  91.  
  92. ##Audio Codec/external EEPROM IIC bus
  93. ##IO_L13P_T2_MRCC_34
  94. #set_property PACKAGE_PIN N18 [get_ports ac_scl]
  95. #set_property IOSTANDARD LVCMOS33 [get_ports ac_scl]
  96.  
  97. ##IO_L23P_T3_34
  98. #set_property PACKAGE_PIN N17 [get_ports ac_sda]
  99. #set_property IOSTANDARD LVCMOS33 [get_ports ac_sda]
  100.  
  101. ##Additional Ethernet signals
  102. ##IO_L6P_T0_35
  103. #set_property PACKAGE_PIN F16 [get_ports eth_int_b]
  104. #set_property IOSTANDARD LVCMOS33 [get_ports eth_int_b]
  105.  
  106. ##IO_L3P_T0_DQS_AD1P_35
  107. #set_property PACKAGE_PIN E17 [get_ports eth_rst_b]
  108. #set_property IOSTANDARD LVCMOS33 [get_ports eth_rst_b]
  109.  
  110. ##HDMI Signals
  111. ##IO_L13N_T2_MRCC_35
  112. #set_property PACKAGE_PIN H17 [get_ports hdmi_clk_n]
  113. #set_property IOSTANDARD TMDS_33 [get_ports hdmi_clk_n]
  114.  
  115. ##IO_L13P_T2_MRCC_35
  116. #set_property PACKAGE_PIN H16 [get_ports hdmi_clk_p]
  117. #set_property IOSTANDARD TMDS_33 [get_ports hdmi_clk_p]
  118.  
  119. ##IO_L4N_T0_35
  120. #set_property PACKAGE_PIN D20 [get_ports {hdmi_d_n[0]}]
  121. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[0]}]
  122.  
  123. ##IO_L4P_T0_35
  124. #set_property PACKAGE_PIN D19 [get_ports {hdmi_d_p[0]}]
  125. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[0]}]
  126.  
  127. ##IO_L1N_T0_AD0N_35
  128. #set_property PACKAGE_PIN B20 [get_ports {hdmi_d_n[1]}]
  129. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[1]}]
  130.  
  131. ##IO_L1P_T0_AD0P_35
  132. #set_property PACKAGE_PIN C20 [get_ports {hdmi_d_p[1]}]
  133. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[1]}]
  134.  
  135. ##IO_L2N_T0_AD8N_35
  136. #set_property PACKAGE_PIN A20 [get_ports {hdmi_d_n[2]}]
  137. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[2]}]
  138.  
  139. ##IO_L2P_T0_AD8P_35
  140. #set_property PACKAGE_PIN B19 [get_ports {hdmi_d_p[2]}]
  141. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[2]}]
  142.  
  143. ##IO_L5N_T0_AD9N_35
  144. #set_property PACKAGE_PIN E19 [get_ports hdmi_cec]
  145. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_cec]
  146.  
  147. ##IO_L5P_T0_AD9P_35
  148. #set_property PACKAGE_PIN E18 [get_ports hdmi_hpd]
  149. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_hpd]
  150.  
  151. ##IO_L6N_T0_VREF_35
  152. #set_property PACKAGE_PIN F17 [get_ports hdmi_out_en]
  153. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_out_en]
  154.  
  155. ##IO_L16P_T2_35
  156. #set_property PACKAGE_PIN G17 [get_ports hdmi_scl]
  157. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_scl]
  158.  
  159. ##IO_L16N_T2_35
  160. #set_property PACKAGE_PIN G18 [get_ports hdmi_sda]
  161. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_sda]
  162.  
  163. ##Pmod Header JA (XADC)
  164. ##IO_L21N_T3_DQS_AD14N_35
  165. #set_property PACKAGE_PIN N16 [get_ports {ja_n[0]}]
  166. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[0]}]
  167.  
  168. ##IO_L21P_T3_DQS_AD14P_35
  169. #set_property PACKAGE_PIN N15 [get_ports {ja_p[0]}]
  170. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[0]}]
  171.  
  172. ##IO_L22N_T3_AD7N_35
  173. #set_property PACKAGE_PIN L15 [get_ports {ja_n[1]}]
  174. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[1]}]
  175.  
  176. ##IO_L22P_T3_AD7P_35
  177. #set_property PACKAGE_PIN L14 [get_ports {ja_p[1]}]
  178. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[1]}]
  179.  
  180. ##IO_L24N_T3_AD15N_35
  181. #set_property PACKAGE_PIN J16 [get_ports {ja_n[2]}]
  182. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[2]}]
  183.  
  184. ##IO_L24P_T3_AD15P_35
  185. #set_property PACKAGE_PIN K16 [get_ports {ja_p[2]}]
  186. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[2]}]
  187.  
  188. ##IO_L20N_T3_AD6N_35
  189. #set_property PACKAGE_PIN J14 [get_ports {ja_n[3]}]
  190. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[3]}]
  191.  
  192. ##IO_L20P_T3_AD6P_35
  193. #set_property PACKAGE_PIN K14 [get_ports {ja_p[3]}]
  194. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[3]}]
  195.  
  196. ##Pmod Header JB
  197. ##IO_L15N_T2_DQS_34
  198. #set_property PACKAGE_PIN U20 [get_ports {jb_n[0]}]
  199. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_n[0]}]
  200.  
  201. ##IO_L15P_T2_DQS_34
  202. #set_property PACKAGE_PIN T20 [get_ports {jb_p[0]}]
  203. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_p[0]}]
  204.  
  205. ##IO_L16N_T2_34
  206. #set_property PACKAGE_PIN W20 [get_ports {jb_n[1]}]
  207. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_n[1]}]
  208.  
  209. ##IO_L16P_T2_34
  210. #set_property PACKAGE_PIN V20 [get_ports {jb_p[1]}]
  211. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_p[1]}]
  212.  
  213. ##IO_L17N_T2_34
  214. #set_property PACKAGE_PIN Y19 [get_ports {jb_n[2]}]
  215. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_n[2]}]
  216.  
  217. ##IO_L17P_T2_34
  218. #set_property PACKAGE_PIN Y18 [get_ports {jb_p[2]}]
  219. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_p[2]}]
  220.  
  221. ##IO_L22N_T3_34
  222. #set_property PACKAGE_PIN W19 [get_ports {jb_n[3]}]
  223. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_n[3]}]
  224.  
  225. ##IO_L22P_T3_34
  226. #set_property PACKAGE_PIN W18 [get_ports {jb_p[3]}]
  227. #set_property IOSTANDARD LVCMOS33 [get_ports {jb_p[3]}]
  228.  
  229. ##Pmod Header JC
  230. ##IO_L10N_T1_34
  231. #set_property PACKAGE_PIN W15 [get_ports {jc_n[0]}]
  232. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[0]}]
  233.  
  234. ##IO_L10P_T1_34
  235. #set_property PACKAGE_PIN V15 [get_ports {jc_p[0]}]
  236. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[0]}]
  237.  
  238. ##IO_L1N_T0_34
  239. #set_property PACKAGE_PIN T10 [get_ports {jc_n[1]}]
  240. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[1]}]
  241.  
  242. ##IO_L1P_T0_34
  243. #set_property PACKAGE_PIN T11 [get_ports {jc_p[1]}]
  244. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[1]}]
  245.  
  246. ##IO_L8N_T1_34
  247. #set_property PACKAGE_PIN Y14 [get_ports {jc_n[2]}]
  248. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[2]}]
  249.  
  250. ##IO_L8P_T1_34
  251. #set_property PACKAGE_PIN W14 [get_ports {jc_p[2]}]
  252. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[2]}]
  253.  
  254. ##IO_L2N_T0_34
  255. #set_property PACKAGE_PIN U12 [get_ports {jc_n[3]}]
  256. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[3]}]
  257.  
  258. ##IO_L2P_T0_34
  259. #set_property PACKAGE_PIN T12 [get_ports {jc_p[3]}]
  260. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[3]}]
  261.  
  262. ##Pmod Header JD
  263. ##IO_L5N_T0_34
  264. #set_property PACKAGE_PIN T15 [get_ports {jd_n[0]}]
  265. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[0]}]
  266.  
  267. ##IO_L5P_T0_34
  268. #set_property PACKAGE_PIN T14 [get_ports {jd_p[0]}]
  269. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[0]}]
  270.  
  271. ##IO_L6N_T0_VREF_34
  272. #set_property PACKAGE_PIN R14 [get_ports {jd_n[1]}]
  273. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[1]}]
  274.  
  275. ##IO_L6P_T0_34
  276. #set_property PACKAGE_PIN P14 [get_ports {jd_p[1]}]
  277. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[1]}]
  278.  
  279. ##IO_L11N_T1_SRCC_34
  280. #set_property PACKAGE_PIN U15 [get_ports {jd_n[2]}]
  281. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[2]}]
  282.  
  283. ##IO_L11P_T1_SRCC_34
  284. #set_property PACKAGE_PIN U14 [get_ports {jd_p[2]}]
  285. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[2]}]
  286.  
  287. ##IO_L21N_T3_DQS_34
  288. #set_property PACKAGE_PIN V18 [get_ports {jd_n[3]}]
  289. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[3]}]
  290.  
  291. ##IO_L21P_T3_DQS_34
  292. #set_property PACKAGE_PIN V17 [get_ports {jd_p[3]}]
  293. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[3]}]
  294.  
  295. ##Pmod Header JE
  296. ##IO_L4P_T0_34
  297. #set_property PACKAGE_PIN V12 [get_ports {je[0]}]
  298. #set_property IOSTANDARD LVCMOS33 [get_ports {je[0]}]
  299.  
  300. ##IO_L18N_T2_34
  301. #set_property PACKAGE_PIN W16 [get_ports {je[1]}]
  302. #set_property IOSTANDARD LVCMOS33 [get_ports {je[1]}]
  303.  
  304. ##IO_25_35
  305. #set_property PACKAGE_PIN J15 [get_ports {je[2]}]
  306. #set_property IOSTANDARD LVCMOS33 [get_ports {je[2]}]
  307.  
  308. ##IO_L19P_T3_35
  309. #set_property PACKAGE_PIN H15 [get_ports {je[3]}]
  310. #set_property IOSTANDARD LVCMOS33 [get_ports {je[3]}]
  311.  
  312. ##IO_L3N_T0_DQS_34
  313. #set_property PACKAGE_PIN V13 [get_ports {je[4]}]
  314. #set_property IOSTANDARD LVCMOS33 [get_ports {je[4]}]
  315.  
  316. ##IO_L9N_T1_DQS_34
  317. #set_property PACKAGE_PIN U17 [get_ports {je[5]}]
  318. #set_property IOSTANDARD LVCMOS33 [get_ports {je[5]}]
  319.  
  320. ##IO_L20P_T3_34
  321. #set_property PACKAGE_PIN T17 [get_ports {je[6]}]
  322. #set_property IOSTANDARD LVCMOS33 [get_ports {je[6]}]
  323.  
  324. ##IO_L7N_T1_34
  325. #set_property PACKAGE_PIN Y17 [get_ports {je[7]}]
  326. #set_property IOSTANDARD LVCMOS33 [get_ports {je[7]}]
  327.  
  328.  
  329. ##USB-OTG overcurrent detect pin
  330. ##IO_L3P_T0_DQS_PUDC_B_34
  331. #set_property PACKAGE_PIN U13 [get_ports otg_oc]
  332. #set_property IOSTANDARD LVCMOS33 [get_ports otg_oc]
  333.  
  334.  
  335. ##VGA Connector
  336. ##IO_L7P_T1_AD2P_35
  337. #set_property PACKAGE_PIN M19 [get_ports {vga_r[0]}]
  338. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[0]}]
  339.  
  340. ##IO_L9N_T1_DQS_AD3N_35
  341. #set_property PACKAGE_PIN L20 [get_ports {vga_r[1]}]
  342. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[1]}]
  343.  
  344. ##IO_L17P_T2_AD5P_35
  345. #set_property PACKAGE_PIN J20 [get_ports {vga_r[2]}]
  346. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[2]}]
  347.  
  348. ##IO_L18N_T2_AD13N_35
  349. #set_property PACKAGE_PIN G20 [get_ports {vga_r[3]}]
  350. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[3]}]
  351.  
  352. ##IO_L15P_T2_DQS_AD12P_35
  353. #set_property PACKAGE_PIN F19 [get_ports {vga_r[4]}]
  354. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[4]}]
  355.  
  356. ##IO_L14N_T2_AD4N_SRCC_35
  357. #set_property PACKAGE_PIN H18 [get_ports {vga_g[0]}]
  358. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[0]}]
  359.  
  360. ##IO_L14P_T2_SRCC_34
  361. #set_property PACKAGE_PIN N20 [get_ports {vga_g[1]}]
  362. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[1]}]
  363.  
  364. ##IO_L9P_T1_DQS_AD3P_35
  365. #set_property PACKAGE_PIN L19 [get_ports {vga_g[2]}]
  366. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[2]}]
  367.  
  368. ##IO_L10N_T1_AD11N_35
  369. #set_property PACKAGE_PIN J19 [get_ports {vga_g[3]}]
  370. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[3]}]
  371.  
  372. ##IO_L17N_T2_AD5N_35
  373. #set_property PACKAGE_PIN H20 [get_ports {vga_g[4]}]
  374. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[4]}]
  375.  
  376. ##IO_L15N_T2_DQS_AD12N_35
  377. #set_property PACKAGE_PIN F20 [get_ports {vga_g[5]}]
  378. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[5]}]
  379.  
  380. ##IO_L14N_T2_SRCC_34
  381. #set_property PACKAGE_PIN P20 [get_ports {vga_b[0]}]
  382. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[0]}]
  383.  
  384. ##IO_L7N_T1_AD2N_35
  385. #set_property PACKAGE_PIN M20 [get_ports {vga_b[1]}]
  386. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[1]}]
  387.  
  388. ##IO_L10P_T1_AD11P_35
  389. #set_property PACKAGE_PIN K19 [get_ports {vga_b[2]}]
  390. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[2]}]
  391.  
  392. ##IO_L14P_T2_AD4P_SRCC_35
  393. #set_property PACKAGE_PIN J18 [get_ports {vga_b[3]}]
  394. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[3]}]
  395.  
  396. ##IO_L18P_T2_AD13P_35
  397. #set_property PACKAGE_PIN G19 [get_ports {vga_b[4]}]
  398. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[4]}]
  399.  
  400. ##IO_L13N_T2_MRCC_34
  401. #set_property PACKAGE_PIN P19 [get_ports vga_hs]
  402. #set_property IOSTANDARD LVCMOS33 [get_ports vga_hs]
  403.  
  404. ##IO_0_34
  405. #set_property PACKAGE_PIN R19 [get_ports vga_vs]
  406. #set_property IOSTANDARD LVCMOS33 [get_ports vga_vs]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement