Advertisement
hbinderup94

Untitled

Mar 23rd, 2017
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.82 KB | None | 0 0
  1. ---------------- bin2hex ----------------
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity bin2hex is
  6. port(
  7.     bin : in std_logic_vector(3 downto 0);
  8.     seg : out std_logic_vector(6 downto 0));
  9. end bin2hex;
  10.  
  11. architecture structural of bin2hex is
  12. begin
  13.     case_bin: process (bin) -- case statements oprettes
  14.     begin
  15.         case(bin) is -- cases erklæres
  16.         when "0000" => seg <= "1000000"; -- hexdisplay viser 0
  17.         when "0001" => seg <= "1111001"; -- hexdisplay viser 1
  18.         when "0010" => seg <= "0100100"; -- hexdisplay viser 2
  19.         when "0011" => seg <= "0110000"; -- hexdisplay viser 3
  20.         when "0100" => seg <= "0011001"; -- hexdisplay viser 4
  21.         when "0101" => seg <= "0010010"; -- hexdisplay viser 5
  22.         when "0110" => seg <= "0000010"; -- hexdisplay viser 6
  23.         when "0111" => seg <= "1111000"; -- hexdisplay viser 7
  24.         when "1000" => seg <= "0000000"; -- hexdisplay viser 8
  25.         when "1001" => seg <= "0010000"; -- hexdisplay viser 9
  26.         when "1010" => seg <= "0001000"; -- hexdisplay viser A
  27.         when "1011" => seg <= "0000011"; -- hexdisplay viser b
  28.         when "1100" => seg <= "1000110"; -- hexdisplay viser C
  29.         when "1101" => seg <= "0100001"; -- hexdisplay viser d
  30.         when "1110" => seg <= "0000110"; -- hexdisplay viser E
  31.         when "1111" => seg <= "0001110"; -- hexdisplay viser F
  32.         end case; -- case conditions er nu erklæret
  33.     end process case_bin; -- process afsluttes
  34. end structural;
  35.  
  36.  
  37.  
  38.  
  39. ---------- bin2hex_tester -----------
  40. library ieee;
  41. use ieee.std_logic_1164.all;
  42.  
  43. entity bin2hex_tester is
  44. port(
  45.     SW      : in std_logic_vector(3 downto 0);
  46.     HEX0    : out std_logic_vector(6 downto 0));
  47. end bin2hex_tester;
  48.  
  49. architecture structural of bin2hex_tester is
  50. begin
  51. I1: entity work.bin2hex
  52.     port map(
  53.     bin => SW(3 downto 0), -- bin forbindes til switches 3 - 0
  54.     seg => HEX0(6 downto 0)); -- Sseg forbindes til hexdisplay0
  55. end structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement