Advertisement
Guest User

Untitled

a guest
Aug 6th, 2014
255
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C++ 1.34 KB | None | 0 0
  1.         while ( engine.BeginFrame() )
  2.         {
  3.             for ( int i = 0; i < SIZE; i++ )
  4.             {
  5.                 int x = rand() % 740;
  6.                 int y = rand() % 540;
  7.                 spr.Draw(x, y);
  8.             }
  9.            
  10.             engine.EndFrame();
  11.         }
  12.  
  13. BeginFrame()
  14. ......................................................................
  15. bool Engine::BeginFrame()
  16.     {
  17.         if ( glfwWindowShouldClose(m_window) )
  18.             return false;
  19.  
  20.         m_render->BeginFrame();
  21.  
  22.         return true;
  23.     }
  24.     void Render::BeginFrame()
  25.     {
  26.         glClear(GL_COLOR_BUFFER_BIT);  
  27.     }
  28.  
  29. ......................................................................
  30.  
  31. EndFrame()
  32. ......................................................................
  33.     void Engine::EndFrame()
  34.     {
  35.         glfwSwapBuffers(m_window);
  36.         glfwPollEvents();
  37.     }
  38.  
  39.  
  40. ......................................................................
  41.  
  42. spr.Draw
  43. ......................................................................
  44.     void Sprite::Draw(float x, float y)
  45.     {
  46.         Render::Get().GetDefQuad().Draw(glm::vec3(x, y, 0));
  47.     }
  48.  
  49.     void Quad::Draw(const glm::vec3 &pos)
  50.     {
  51.         if ( (m_pos != pos) || Camera2D::Get().IsUpdate() || Render::Get().IsResize() )
  52.         {
  53.             m_pos = pos;
  54.             m_defshaders.UpdateUniform(m_pvw_mat, Render::Get().GetOrthoMatrix()*Camera2D::Get().GetViewMatrix() * glm::translate(m_pos));
  55.         }
  56.        
  57.         glDrawArrays(GL_TRIANGLE_FAN, 0, 4); // <<<--- тормозит тут
  58.     }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement