Advertisement
Guest User

Untitled

a guest
Mar 20th, 2019
67
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.13 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3.  
  4. entity seven_segments is
  5. port(data: in STD_LOGIC_VECTOR(3 downto 0);
  6. segments: out STD_LOGIC_VECTOR(6 downto 0));
  7. end;
  8.  
  9. architecture synth of seven_segments is
  10. begin
  11. process(data) begin
  12. case data is
  13. when X"0" => segments <= "0000001";
  14. when X"1" => segments <= "1001111";
  15. when X"2" => segments <= "0010010";
  16. when X"3" => segments <= "0000110";
  17. when X"4" => segments <= "1001100";
  18. when X"5" => segments <= "0100100";
  19. when X"6" => segments <= "0100000";
  20. when X"7" => segments <= "0001111";
  21. when X"8" => segments <= "0000000";
  22. when X"9" => segments <= "0001100";
  23. when X"A" => segments <= "0001000";
  24. when X"B" => segments <= "1100000";
  25. when X"C" => segments <= "0110001";
  26. when X"D" => segments <= "1000010";
  27. when X"E" => segments <= "0110000";
  28. when X"F" => segments <= "0111000";
  29. when others => segments <= "1111111";
  30. end case;
  31. end process;
  32. end;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement