Advertisement
Guest User

Untitled

a guest
Mar 11th, 2019
91
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module clock10(
  2.     output CLK
  3.     );
  4.     reg clk=1'b0;
  5.     initial
  6.     begin
  7.     while(1)
  8.     begin
  9.         #10; clk=~clk;
  10.     end
  11.     end
  12.     assign CLK=clk;
  13. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement